diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-08-17 22:01:25 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-08-17 22:01:25 +0200 |
commit | e51f0ae6ee0a6c94b1a92b36dab7980d46b99668 (patch) | |
tree | db7e87da512315aaa47da48300700506bc5dd8c6 /testsuite/synth/issue34/repro_rng1.vhdl | |
parent | f475ff49f316c373eab6579bb058508927534db9 (diff) | |
download | ghdl-e51f0ae6ee0a6c94b1a92b36dab7980d46b99668.tar.gz ghdl-e51f0ae6ee0a6c94b1a92b36dab7980d46b99668.tar.bz2 ghdl-e51f0ae6ee0a6c94b1a92b36dab7980d46b99668.zip |
synth: add testcase for issue 34
Diffstat (limited to 'testsuite/synth/issue34/repro_rng1.vhdl')
-rw-r--r-- | testsuite/synth/issue34/repro_rng1.vhdl | 42 |
1 files changed, 42 insertions, 0 deletions
diff --git a/testsuite/synth/issue34/repro_rng1.vhdl b/testsuite/synth/issue34/repro_rng1.vhdl new file mode 100644 index 000000000..9d5a70e04 --- /dev/null +++ b/testsuite/synth/issue34/repro_rng1.vhdl @@ -0,0 +1,42 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity sub_rng1 is + port ( + clk : in std_logic; + a : in natural range 0 to 7; + b : out natural range 0 to 7 + ); +end sub_rng1; + +architecture rtl of sub_rng1 is +begin + process(clk) + begin + if rising_edge(clk) then + b <= a; + end if; + end process; +end rtl; + + +library ieee; +use ieee.std_logic_1164.all; + +entity repro_rng1 is + port ( + clk : in std_logic; + a : in natural range 0 to 7; + b : out natural range 0 to 7 + ); +end repro_rng1; + +architecture rtl of repro_rng1 is +begin + i_sub_rng1 : entity work.sub_rng1 + port map ( + clk => clk, + a => a, + b => b + ); +end rtl; |