aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-12-31 09:35:58 +0100
committerTristan Gingold <tgingold@free.fr>2019-12-31 09:35:58 +0100
commit3d049ce8053a64fe579793a70d9f5fc52df4f1e5 (patch)
tree0d9d4b50c2c5c4737748f6c6aa3f90134efb8251 /testsuite/vests
parent34ed11915eb330f36ba2aa0f8265ab5cb7bdf959 (diff)
downloadghdl-3d049ce8053a64fe579793a70d9f5fc52df4f1e5.tar.gz
ghdl-3d049ce8053a64fe579793a70d9f5fc52df4f1e5.tar.bz2
ghdl-3d049ce8053a64fe579793a70d9f5fc52df4f1e5.zip
testsuite/vests/vhdl-ams: update tests lists.
Diffstat (limited to 'testsuite/vests')
-rw-r--r--testsuite/vests/vhdl-ams/ad-hoc/files-ams.txt38
-rw-r--r--testsuite/vests/vhdl-ams/ashenden/files-ams.txt42
2 files changed, 40 insertions, 40 deletions
diff --git a/testsuite/vests/vhdl-ams/ad-hoc/files-ams.txt b/testsuite/vests/vhdl-ams/ad-hoc/files-ams.txt
index 2c71c4370..99e4e91d2 100644
--- a/testsuite/vests/vhdl-ams/ad-hoc/files-ams.txt
+++ b/testsuite/vests/vhdl-ams/ad-hoc/files-ams.txt
@@ -114,23 +114,23 @@ vhdl-ams/ad-hoc/fromUC/regression_test/test170.ams
#vhdl-ams/ad-hoc/fromUC/regression_test/test173.ams # syntax
#vhdl-ams/ad-hoc/fromUC/regression_test/test174.ams # syntax
#vhdl-ams/ad-hoc/fromUC/regression_test/test175.ams # syntax
-#vhdl-ams/ad-hoc/fromUC/regression_test/test176.ams # null
+vhdl-ams/ad-hoc/fromUC/regression_test/test176.ams
vhdl-ams/ad-hoc/fromUC/regression_test/test177.ams
-#vhdl-ams/ad-hoc/fromUC/regression_test/test178.ams # crash visible
+vhdl-ams/ad-hoc/fromUC/regression_test/test178.ams
vhdl-ams/ad-hoc/fromUC/regression_test/test179.ams
vhdl-ams/ad-hoc/fromUC/regression_test/test180.ams
vhdl-ams/ad-hoc/fromUC/regression_test/test181.ams
vhdl-ams/ad-hoc/fromUC/regression_test/test182.ams
#vhdl-ams/ad-hoc/fromUC/regression_test/test183.ams # syntax
vhdl-ams/ad-hoc/fromUC/regression_test/test184.ams
-#vhdl-ams/ad-hoc/fromUC/regression_test/test185.ams # null
+vhdl-ams/ad-hoc/fromUC/regression_test/test185.ams
vhdl-ams/ad-hoc/fromUC/resistor_models/ccvs.ams
vhdl-ams/ad-hoc/fromUC/resistor_models/parallel.ams
vhdl-ams/ad-hoc/fromUC/resistor_models/series-parallel.ams
vhdl-ams/ad-hoc/fromUC/resistor_models/series.ams
vhdl-ams/ad-hoc/fromUC/resistor_models/vccs.ams
vhdl-ams/ad-hoc/fromUC/resistor_models/vcvs.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/clipper.ams # crash name
+vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/clipper.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/double_tuned.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/hwr_filter.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/limiter.ams
@@ -140,17 +140,17 @@ vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test100.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test101.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test102.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test103.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test104.ams # label
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test104.ams # syntax
#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test105.ams # syntax
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test106.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test108.ams # crash visible
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test109.ams # idem
+vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test108.ams
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test109.ams # crash
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test110.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test111.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test113.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test114.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test115.ams # crash nature
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test116.ams # idem
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test115.ams # errors
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test116.ams # errors
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test118.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test119.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test121.ams
@@ -159,7 +159,7 @@ vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test124.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test128.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test136.ams
#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test141.ams # syntax
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test145.ams # syntax
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test145.ams # reference
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test146.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test147.ams
#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test148.ams # reference
@@ -175,22 +175,22 @@ vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test157.ams
#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test161.ams # reference
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test162.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test163.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test164.ams # syntax ;
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test164.ams # invalid (missing ;)
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test165.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test166.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test167.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test168.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test169.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test170.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test172.ams # syntax
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test173.ams # syntax
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test174.ams # syntax
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test175.ams # syntax
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test176.ams # null
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test172.ams # invalid
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test173.ams # invalid
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test174.ams # invalid
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test175.ams # invalid
+vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test176.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test182.ams
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test183.ams # reference
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test184.ams # reference
-#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test185.ams # null
+#vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test183.ams # alias
+vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test184.ams
+vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/test185.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/voltage_doubler.ams
vhdl-ams/ad-hoc/fromUC/simultaneous_stmts/wein_bridge.ams
vhdl-ams/ad-hoc/fromUC/subtype_test/test112.ams
diff --git a/testsuite/vests/vhdl-ams/ashenden/files-ams.txt b/testsuite/vests/vhdl-ams/ashenden/files-ams.txt
index 60993daf5..d533648b9 100644
--- a/testsuite/vests/vhdl-ams/ashenden/files-ams.txt
+++ b/testsuite/vests/vhdl-ams/ashenden/files-ams.txt
@@ -37,11 +37,11 @@ vhdl-ams/ashenden/compliant/AMS_CS1_Mixed_Sig/switch_dig_2in.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/gain.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/gain_e.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/gear_rv_r.vhd
-#vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lead_lag.vhd # ltf
+vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lead_lag.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lead_lag_diff.vhd
-#vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lead_lag_ztf.vhd # ztf
+vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lead_lag_ztf.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/limiter.vhd
-#vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lpf_1.vhd # ltf
+vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/lpf_1.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/stop_r.vhd
vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/sum2.vhd
#vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/tb_CS2_Mech_Domain.vhd # spectrum
@@ -52,7 +52,7 @@ vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/buck_sw.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/CalcBuckParams.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/CalcBuckParams_wa.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/capacitor.vhd
-#vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/comp_2p2z.vhd #ltf
+vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/comp_2p2z.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/pwl_load.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/pwl_load_wa.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/sw_LoopCtrl.vhd
@@ -60,15 +60,15 @@ vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/sw_LoopCtrl_wa.vhd
vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/switch_dig.vhd
#vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/tb_BuckConverter.vhd #libs
#vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/tb_CalcBuckParams.vhd #unit
-#vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/tb_CS3_BuckConverter_average.vhd #quantity
+#vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/tb_CS3_BuckConverter_average.vhd #unit
vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/bfsk.vhd
vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/bfsk_wa.vhd
vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/MeasFreq.vhd
-#vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/PLL.vhd #ltf
-#vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/tb_CS4_CommSys_det.vhd #crash nature
+vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/PLL.vhd
+#vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/tb_CS4_CommSys_det.vhd #libs
#vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/tb_CS4_CommSys_PLL.vhd #idem
#vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/tb_pll.vhd #libs
-#vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/v_BPF.vhd #ltf
+vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/v_BPF.vhd
vhdl-ams/ashenden/compliant/AMS_CS4_RF_IC/v_Sum.vhd
vhdl-ams/ashenden/compliant/AMS_CS5_RC_Airplane/amp_lim.vhd
vhdl-ams/ashenden/compliant/AMS_CS5_RC_Airplane/pwl_functions.vhd
@@ -101,9 +101,9 @@ vhdl-ams/ashenden/compliant/analog-modeling/inline_07a.vhd
vhdl-ams/ashenden/compliant/analog-modeling/inline_09a.vhd
#vhdl-ams/ashenden/compliant/analog-modeling/inline_10a.vhd # syntax
vhdl-ams/ashenden/compliant/analog-modeling/inline_11a.vhd
-#vhdl-ams/ashenden/compliant/analog-modeling/inline_12a.vhd #case
-#vhdl-ams/ashenden/compliant/analog-modeling/inline_13a.vhd #idem
-#vhdl-ams/ashenden/compliant/analog-modeling/inline_14a.vhd #null
+vhdl-ams/ashenden/compliant/analog-modeling/inline_12a.vhd
+#vhdl-ams/ashenden/compliant/analog-modeling/inline_13a.vhd #invalid
+vhdl-ams/ashenden/compliant/analog-modeling/inline_14a.vhd
vhdl-ams/ashenden/compliant/analog-modeling/inline_15a.vhd
#vhdl-ams/ashenden/compliant/analog-modeling/inline_16a.vhd #assoc
vhdl-ams/ashenden/compliant/analog-modeling/inline_17a.vhd
@@ -315,27 +315,27 @@ vhdl-ams/ashenden/compliant/files-and-IO/stimulus_generator.vhd
vhdl-ams/ashenden/compliant/files-and-IO/tb_cache.vhd
vhdl-ams/ashenden/compliant/files-and-IO/tb_ROM.vhd
#vhdl-ams/ashenden/compliant/files-and-IO/textio.vhd #redeclaration
-#vhdl-ams/ashenden/compliant/frequency-modeling/inline_01a.vhd #quan
-#vhdl-ams/ashenden/compliant/frequency-modeling/inline_02a.vhd #quan
+vhdl-ams/ashenden/compliant/frequency-modeling/inline_01a.vhd
+#vhdl-ams/ashenden/compliant/frequency-modeling/inline_02a.vhd #lib
vhdl-ams/ashenden/compliant/frequency-modeling/inline_03a.vhd
vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-1.vhd
vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-2.vhd
-#vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-3.vhd #ltf
+vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-3.vhd
#vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-4.vhd #zoh
-#vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-5.vhd #ztf
+vhdl-ams/ashenden/compliant/frequency-modeling/lowpass-5.vhd
#vhdl-ams/ashenden/compliant/frequency-modeling/lowpass.vhd #ltf
-#vhdl-ams/ashenden/compliant/frequency-modeling/nmos_transistor.vhd #quan
+vhdl-ams/ashenden/compliant/frequency-modeling/nmos_transistor.vhd
vhdl-ams/ashenden/compliant/frequency-modeling/nmos_transistor_wa.vhd
vhdl-ams/ashenden/compliant/frequency-modeling/opamp.vhd
-#vhdl-ams/ashenden/compliant/frequency-modeling/opamp_2pole.vhd #ltf
-#vhdl-ams/ashenden/compliant/frequency-modeling/opamp_2pole_res.vhd #ltf
+vhdl-ams/ashenden/compliant/frequency-modeling/opamp_2pole.vhd
+vhdl-ams/ashenden/compliant/frequency-modeling/opamp_2pole_res.vhd
#vhdl-ams/ashenden/compliant/frequency-modeling/tb_lpf_dot_ltf_ztf-1.vhd #lib
#vhdl-ams/ashenden/compliant/frequency-modeling/tb_lpf_dot_ltf_ztf.vhd #lib
#vhdl-ams/ashenden/compliant/frequency-modeling/tb_mosfet_noisy.vhd #lib
#vhdl-ams/ashenden/compliant/frequency-modeling/tb_opamp.vhd #lib
#vhdl-ams/ashenden/compliant/frequency-modeling/tb_opamp_2pole.vhd #lib
#vhdl-ams/ashenden/compliant/frequency-modeling/tb_v_source.vhd #lib
-#vhdl-ams/ashenden/compliant/frequency-modeling/v_source-1.vhd #quan
+vhdl-ams/ashenden/compliant/frequency-modeling/v_source-1.vhd
vhdl-ams/ashenden/compliant/frequency-modeling/v_source.vhd
vhdl-ams/ashenden/compliant/fundamental/d_ff.vhd
vhdl-ams/ashenden/compliant/fundamental/vc_amp.vhd
@@ -360,6 +360,7 @@ vhdl-ams/ashenden/compliant/generators/inline_02.vhd
vhdl-ams/ashenden/compliant/generators/memory_board.vhd
#vhdl-ams/ashenden/compliant/generators/resistor_pack.vhd #crash sub
vhdl-ams/ashenden/compliant/generics/control_unit.vhd
+vhdl-ams/ashenden/compliant/generics/multiple_opamp.vhd
#vhdl-ams/ashenden/compliant/generics/inline_01.vhd #lib
vhdl-ams/ashenden/compliant/generics/inline_02a.vhd
vhdl-ams/ashenden/compliant/generics/inline_03.vhd
@@ -367,8 +368,7 @@ vhdl-ams/ashenden/compliant/generics/inline_03.vhd
vhdl-ams/ashenden/compliant/generics/inline_06.vhd
vhdl-ams/ashenden/compliant/generics/inline_07.vhd
#vhdl-ams/ashenden/compliant/generics/inline_08.vhd #lib
-#vhdl-ams/ashenden/compliant/generics/inline_09a.vhd #crash
-#vhdl-ams/ashenden/compliant/generics/multiple_opamp.vhd #crash
+vhdl-ams/ashenden/compliant/generics/inline_09a.vhd
vhdl-ams/ashenden/compliant/generics/reg.vhd
#vhdl-ams/ashenden/compliant/generics/tb_timer_w_stim.vhd #lib
vhdl-ams/ashenden/compliant/generics/timer.vhd