aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-06-30 06:15:30 +0200
committerTristan Gingold <tgingold@free.fr>2016-07-03 14:16:16 +0200
commitf100e7c33b3064d92a46ef09936aebd899203d8e (patch)
treec25d8afd083fadd7572efa246094c5901cf9e5e4 /testsuite/vests
parent906d23d23591b2040b3554e7aa39ecfe0c9a1e1b (diff)
downloadghdl-f100e7c33b3064d92a46ef09936aebd899203d8e.tar.gz
ghdl-f100e7c33b3064d92a46ef09936aebd899203d8e.tar.bz2
ghdl-f100e7c33b3064d92a46ef09936aebd899203d8e.zip
Enable vest recursive instantiation test.
Diffstat (limited to 'testsuite/vests')
-rw-r--r--testsuite/vests/vhdl-93/ashenden/compliant/compliant.exp10
1 files changed, 5 insertions, 5 deletions
diff --git a/testsuite/vests/vhdl-93/ashenden/compliant/compliant.exp b/testsuite/vests/vhdl-93/ashenden/compliant/compliant.exp
index 1f1056cc8..b2fd0bf72 100644
--- a/testsuite/vests/vhdl-93/ashenden/compliant/compliant.exp
+++ b/testsuite/vests/vhdl-93/ashenden/compliant/compliant.exp
@@ -142,7 +142,7 @@ build_compliant_test ch_04_ch_04_04.vhd
build_compliant_test ch_04_ch_04_05.vhd
build_compliant_test ch_04_ch_04_06.vhd
build_compliant_test ch_04_ch_04_07.vhd
-#build_compliant_test ch_04_ch_04_08.vhd # error detected during analysis
+#build_compliant_test ch_04_ch_04_08.vhd # contains errors (invalid ranges)
build_compliant_test ch_04_ch_04_10.vhd
build_compliant_test ch_04_fg_04_01.vhd
@@ -252,7 +252,7 @@ build_compliant_test ch_05_fg_05_17.vhd
build_compliant_test ch_05_fg_05_18.vhd
build_compliant_test ch_05_fg_05_19.vhd
build_compliant_test ch_05_fg_05_20.vhd
-#build_compliant_test ch_05_fg_05_21.vhd # bad expression for selected sig asgn
+#build_compliant_test ch_05_fg_05_21.vhd # error: non-static tyoe mark in selected sig asgn
build_compliant_test ch_05_fg_05_23.vhd
build_compliant_test ch_05_fg_05_25.vhd
build_compliant_test ch_05_fg_05_28.vhd
@@ -368,7 +368,7 @@ build_compliant_test ch_09_ch_09_01.vhd
build_compliant_test ch_09_ch_09_02.vhd
build_compliant_test ch_09_ch_09_03.vhd
build_compliant_test ch_09_ch_09_04.vhd
-#build_compliant_test ch_09_fg_09_01.vhd # non-object alias denotes an object
+build_compliant_test ch_09_fg_09_01.vhd
build_compliant_test ch_09_fg_09_02.vhd
build_compliant_test ch_09_fg_09_03.vhd
build_compliant_test ch_09_fg_09_04.vhd
@@ -433,7 +433,7 @@ build_compliant_test ch_13_fg_13_01.vhd LIBRARY=star_lib
build_compliant_test ch_13_fg_13_02.vhd
build_compliant_test ch_13_fg_13_03.vhd
build_compliant_test ch_13_fg_13_04.vhd
-#build_compliant_test ch_13_fg_13_05.vhd # depend
+#build_compliant_test ch_13_fg_13_05.vhd # depend (no star_lib)
build_compliant_test ch_13_fg_13_06.vhd
#build_compliant_test ch_13_fg_13_07.vhd # depend
#build_compliant_test ch_13_fg_13_08.vhd # depend
@@ -491,7 +491,7 @@ build_compliant_test ch_14_fg_14_04.vhd LIBRARY=chip_lib
#build_compliant_test ch_14_fg_14_05.vhd # array staticness
#build_compliant_test ch_14_fg_14_05.vhd LIBRARY=cell_lib
build_compliant_test ch_14_fg_14_06.vhd
-#build_compliant_test ch_14_fg_14_08.vhd # recursive instantiation
+build_compliant_test ch_14_fg_14_08.vhd # recursive instantiation
build_compliant_test ch_14_fg_14_09.vhd
build_compliant_test ch_14_fg_14_10.vhd
build_compliant_test ch_14_fg_14_11.vhd