aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-27 03:52:34 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-27 03:52:34 +0200
commit047b277b31f1d830f3fc72933d9fbb388f8cbdd0 (patch)
tree2eee816d24a601be453cd96903a826fadade9f05 /testsuite
parent719f5418c95be27edcdfea5c437d44d2ef8c67de (diff)
downloadghdl-047b277b31f1d830f3fc72933d9fbb388f8cbdd0.tar.gz
ghdl-047b277b31f1d830f3fc72933d9fbb388f8cbdd0.tar.bz2
ghdl-047b277b31f1d830f3fc72933d9fbb388f8cbdd0.zip
Testcase for bug23482
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug23482/test1.vhdl8
-rw-r--r--testsuite/gna/bug23482/test2.vhdl8
-rwxr-xr-xtestsuite/gna/bug23482/testsuite.sh12
3 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/bug23482/test1.vhdl b/testsuite/gna/bug23482/test1.vhdl
new file mode 100644
index 000000000..034c732a1
--- /dev/null
+++ b/testsuite/gna/bug23482/test1.vhdl
@@ -0,0 +1,8 @@
+entity test is end entity;
+
+architecture arch of test is
+ signal b:bit;
+ alias bit_base is bit'base;
+ -- alias b_stable is b'stable;
+begin
+end architecture;
diff --git a/testsuite/gna/bug23482/test2.vhdl b/testsuite/gna/bug23482/test2.vhdl
new file mode 100644
index 000000000..b03515a4a
--- /dev/null
+++ b/testsuite/gna/bug23482/test2.vhdl
@@ -0,0 +1,8 @@
+entity test2 is end entity;
+
+architecture arch of test2 is
+ signal b:bit;
+ -- alias bit_base is bit'base;
+ alias b_stable is b'stable;
+begin
+end architecture;
diff --git a/testsuite/gna/bug23482/testsuite.sh b/testsuite/gna/bug23482/testsuite.sh
new file mode 100755
index 000000000..bb58d8ff4
--- /dev/null
+++ b/testsuite/gna/bug23482/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure test1.vhdl
+
+analyze test2.vhdl
+elab_simulate test2
+
+clean
+
+echo "Test successful"