aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-12-01 04:34:57 +0100
committerTristan Gingold <tgingold@free.fr>2017-12-01 04:35:16 +0100
commit0b472177e185420144c8f03f227f98f4e06f289d (patch)
tree8422a896ae3b0f5c3cce17ad7709ba5db0fa9a3b /testsuite
parentd265cf4189aa50685d68c04675f15c0466b45314 (diff)
downloadghdl-0b472177e185420144c8f03f227f98f4e06f289d.tar.gz
ghdl-0b472177e185420144c8f03f227f98f4e06f289d.tar.bz2
ghdl-0b472177e185420144c8f03f227f98f4e06f289d.zip
Testcase for #471
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue471/a.vhdl10
-rw-r--r--testsuite/gna/issue471/b.vhdl10
-rw-r--r--testsuite/gna/issue471/p1.vhdl5
-rwxr-xr-xtestsuite/gna/issue471/testsuite.sh14
4 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/gna/issue471/a.vhdl b/testsuite/gna/issue471/a.vhdl
new file mode 100644
index 000000000..e638ba98f
--- /dev/null
+++ b/testsuite/gna/issue471/a.vhdl
@@ -0,0 +1,10 @@
+use work.b.all;
+
+package a is
+
+ type type_a is record
+ something : type_b;
+ end record;
+
+end package;
+
diff --git a/testsuite/gna/issue471/b.vhdl b/testsuite/gna/issue471/b.vhdl
new file mode 100644
index 000000000..c1bf4affd
--- /dev/null
+++ b/testsuite/gna/issue471/b.vhdl
@@ -0,0 +1,10 @@
+use work.a.all;
+
+package b is
+
+ type type_b is record
+ something : type_a;
+ end record;
+
+end package;
+
diff --git a/testsuite/gna/issue471/p1.vhdl b/testsuite/gna/issue471/p1.vhdl
new file mode 100644
index 000000000..b85f5e390
--- /dev/null
+++ b/testsuite/gna/issue471/p1.vhdl
@@ -0,0 +1,5 @@
+use work.p1.all;
+
+package p1 is
+ constant c : natural := 5;
+end p1;
diff --git a/testsuite/gna/issue471/testsuite.sh b/testsuite/gna/issue471/testsuite.sh
new file mode 100755
index 000000000..88e008257
--- /dev/null
+++ b/testsuite/gna/issue471/testsuite.sh
@@ -0,0 +1,14 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+$GHDL -i a.vhdl b.vhdl
+analyze_failure a.vhdl
+analyze_failure b.vhdl
+
+$GHDL -i p1.vhdl
+analyze_failure p1.vhdl
+
+clean
+
+echo "Test successful"