aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-03-05 09:24:15 +0100
committerTristan Gingold <tgingold@free.fr>2023-03-05 09:24:48 +0100
commit0edaa61d52fff06dda6e582c4ffe5af6d970a190 (patch)
tree9edaddd2aad1d3238d5da3aa5223ab2a66b6887c /testsuite
parent184901af3e93ff1388f18e1f22bf26edb6df1159 (diff)
downloadghdl-0edaa61d52fff06dda6e582c4ffe5af6d970a190.tar.gz
ghdl-0edaa61d52fff06dda6e582c4ffe5af6d970a190.tar.bz2
ghdl-0edaa61d52fff06dda6e582c4ffe5af6d970a190.zip
testsuite/synth: add a test for #2372
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/synth/issue2372/testsuite.sh8
-rw-r--r--testsuite/synth/issue2372/to01.vhdl7
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/issue2372/testsuite.sh b/testsuite/synth/issue2372/testsuite.sh
new file mode 100755
index 000000000..ccb2ebf27
--- /dev/null
+++ b/testsuite/synth/issue2372/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_only to01
+
+echo "Test successful"
diff --git a/testsuite/synth/issue2372/to01.vhdl b/testsuite/synth/issue2372/to01.vhdl
new file mode 100644
index 000000000..e54c74808
--- /dev/null
+++ b/testsuite/synth/issue2372/to01.vhdl
@@ -0,0 +1,7 @@
+library IEEE; use IEEE.std_logic_1164.all;
+entity to01 is
+ port (din : in std_logic; dout : out std_logic);
+end;
+architecture test of to01 is begin
+ dout <= to_01(din);
+end;