diff options
author | Tristan Gingold <tgingold@free.fr> | 2022-06-05 12:19:10 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2022-06-05 12:19:10 +0200 |
commit | 16b5e35e7c9d872d6e5c5ee6b63cadc945057142 (patch) | |
tree | 6b31b5d8d02437196f53f69ef02380bc705f948d /testsuite | |
parent | 137641dbb2cf2900aea2da2ae90b9b4dfdc2bd5e (diff) | |
download | ghdl-16b5e35e7c9d872d6e5c5ee6b63cadc945057142.tar.gz ghdl-16b5e35e7c9d872d6e5c5ee6b63cadc945057142.tar.bz2 ghdl-16b5e35e7c9d872d6e5c5ee6b63cadc945057142.zip |
testsuite/synth: add a test for #2074
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/synth/issue2074/bitvec.vhdl | 16 | ||||
-rwxr-xr-x | testsuite/synth/issue2074/testsuite.sh | 9 |
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/issue2074/bitvec.vhdl b/testsuite/synth/issue2074/bitvec.vhdl new file mode 100644 index 000000000..abd0786e3 --- /dev/null +++ b/testsuite/synth/issue2074/bitvec.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity bitvec is +port ( + clk : in std_logic; + d : in bit_vector(7 downto 0); + q : out bit_vector(7 downto 0) +); +end entity; + +architecture rtl of bitvec is + constant a : bit_vector(7 downto 0) := X"5a"; +begin + q <= d and a; +end architecture; diff --git a/testsuite/synth/issue2074/testsuite.sh b/testsuite/synth/issue2074/testsuite.sh new file mode 100755 index 000000000..27ce42d50 --- /dev/null +++ b/testsuite/synth/issue2074/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +synth_only bitvec + +clean + +echo "Test successful" |