aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-03-22 07:51:51 +0100
committerTristan Gingold <tgingold@free.fr>2018-03-22 07:51:51 +0100
commit427aa85684a4d7c58fa9c0078aea04b455902fcd (patch)
tree74a9c8911729eba9a01ce8fbbaff19146646611b /testsuite
parentfcbb91ec194d154d2bc9825a25e06361a90fd603 (diff)
downloadghdl-427aa85684a4d7c58fa9c0078aea04b455902fcd.tar.gz
ghdl-427aa85684a4d7c58fa9c0078aea04b455902fcd.tar.bz2
ghdl-427aa85684a4d7c58fa9c0078aea04b455902fcd.zip
Add reproducer for #544.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue544/e.vhdl8
-rwxr-xr-xtestsuite/gna/issue544/testsuite.sh11
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue544/e.vhdl b/testsuite/gna/issue544/e.vhdl
new file mode 100644
index 000000000..2101cae8e
--- /dev/null
+++ b/testsuite/gna/issue544/e.vhdl
@@ -0,0 +1,8 @@
+entity e is end entity;
+architecture a of e is
+ function f return boolean is begin
+ return false;
+ end function;
+begin
+ assert f report "message" severity note;
+end architecture;
diff --git a/testsuite/gna/issue544/testsuite.sh b/testsuite/gna/issue544/testsuite.sh
new file mode 100755
index 000000000..1a4b10413
--- /dev/null
+++ b/testsuite/gna/issue544/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze e.vhdl
+elab_simulate e
+
+clean
+
+echo "Test successful"