aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-17 13:11:32 +0200
committertgingold <tgingold@users.noreply.github.com>2021-06-17 21:34:16 +0200
commit4ec5cdcd77aa1b6fc384197e1208061d62f16ba2 (patch)
tree3c386d35b39b001db4e128abda379ddeb5ba7b2c /testsuite
parent1eea725dedae1fe3eee47c2b4bfc18c7ac35e806 (diff)
downloadghdl-4ec5cdcd77aa1b6fc384197e1208061d62f16ba2.tar.gz
ghdl-4ec5cdcd77aa1b6fc384197e1208061d62f16ba2.tar.bz2
ghdl-4ec5cdcd77aa1b6fc384197e1208061d62f16ba2.zip
Updates to the dom namespace in pyGHDL.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/SimpleEntity.vhdl6
-rw-r--r--testsuite/pyunit/dom/SimpleEntity.py2
-rw-r--r--testsuite/pyunit/libghdl/Initialize.py2
3 files changed, 5 insertions, 5 deletions
diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl
index a61c1cf93..98d0afbb7 100644
--- a/testsuite/pyunit/SimpleEntity.vhdl
+++ b/testsuite/pyunit/SimpleEntity.vhdl
@@ -2,7 +2,7 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-entity e1 is
+entity entity_1 is
generic (
BITS : positive := 8
);
@@ -11,9 +11,9 @@ entity e1 is
Reset: in std_logic;
Q: out std_logic_vector(BITS - 1 downto 0)
);
-end entity e1;
+end entity entity_1;
-architecture behav of e1 is
+architecture behav of entity_1 is
begin
process(Clock)
begin
diff --git a/testsuite/pyunit/dom/SimpleEntity.py b/testsuite/pyunit/dom/SimpleEntity.py
index 2f65c9813..252032f9e 100644
--- a/testsuite/pyunit/dom/SimpleEntity.py
+++ b/testsuite/pyunit/dom/SimpleEntity.py
@@ -35,7 +35,7 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].Entities), 1)
- self.assertTrue(design.Documents[0].Entities[0].Name == "e1")
+ self.assertTrue(design.Documents[0].Entities[0].Name == "entity_1")
def test_Architecture(self):
design = Design()
diff --git a/testsuite/pyunit/libghdl/Initialize.py b/testsuite/pyunit/libghdl/Initialize.py
index 0e172f1b8..4d5bb521a 100644
--- a/testsuite/pyunit/libghdl/Initialize.py
+++ b/testsuite/pyunit/libghdl/Initialize.py
@@ -51,7 +51,7 @@ class Instantiate(TestCase):
if nodes.Get_Kind(libraryUnit) == nodes.Iir_Kind.Entity_Declaration:
entityName = self.getIdentifier(libraryUnit)
- self.assertEqual(entityName, "e1", "expected entity name 'e1', got '{}'".format(entityName))
+ self.assertEqual(entityName, "entity_1", "expected entity name 'e1', got '{}'".format(entityName))
elif nodes.Get_Kind(libraryUnit) == nodes.Iir_Kind.Architecture_Body:
architectureName = self.getIdentifier(libraryUnit)