aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-07-19 20:53:33 +0200
committerTristan Gingold <tgingold@free.fr>2018-07-20 18:09:07 +0200
commit6a24c6d16f0e53f8057c47664be1563dd51a73a6 (patch)
tree942f1778e98adf72641dc1848723f89a1e4ed028 /testsuite
parente6688012fdc05e60dbcf2e5f85e8169d3f3e25aa (diff)
downloadghdl-6a24c6d16f0e53f8057c47664be1563dd51a73a6.tar.gz
ghdl-6a24c6d16f0e53f8057c47664be1563dd51a73a6.tar.bz2
ghdl-6a24c6d16f0e53f8057c47664be1563dd51a73a6.zip
Add testcase for #623
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue623/crash.vhdl14
-rwxr-xr-xtestsuite/gna/issue623/testsuite.sh10
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue623/crash.vhdl b/testsuite/gna/issue623/crash.vhdl
new file mode 100644
index 000000000..a35cfddb4
--- /dev/null
+++ b/testsuite/gna/issue623/crash.vhdl
@@ -0,0 +1,14 @@
+entity crash_entity is end entity;
+
+architecture default of crash_entity is
+ type foo_t is record
+ a, b : bit;
+ end record;
+
+ function func return bit is
+ variable v : foo_t(0 to 1);
+ begin
+ return '1';
+ end function;
+begin
+end architecture;
diff --git a/testsuite/gna/issue623/testsuite.sh b/testsuite/gna/issue623/testsuite.sh
new file mode 100755
index 000000000..c3c7bc3dd
--- /dev/null
+++ b/testsuite/gna/issue623/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure crash.vhdl
+
+clean
+
+echo "Test successful"