aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-29 20:39:12 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-29 20:39:12 +0100
commit6d0d174df111435d4d4d48dcebe948b34f9347de (patch)
treeb62aa8c3b4b5bc5de57fe0aa31f14c0cee0c8248 /testsuite
parente77a1e10b0995cb243f1acc582beb5ac7ad875ed (diff)
downloadghdl-6d0d174df111435d4d4d48dcebe948b34f9347de.tar.gz
ghdl-6d0d174df111435d4d4d48dcebe948b34f9347de.tar.bz2
ghdl-6d0d174df111435d4d4d48dcebe948b34f9347de.zip
testsuite/gna: add testcase for #1624
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1624/buggy.vhdl16
-rwxr-xr-xtestsuite/gna/issue1624/testsuite.sh10
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue1624/buggy.vhdl b/testsuite/gna/issue1624/buggy.vhdl
new file mode 100644
index 000000000..86a050f30
--- /dev/null
+++ b/testsuite/gna/issue1624/buggy.vhdl
@@ -0,0 +1,16 @@
+entity buggy is
+begin
+end entity buggy;
+
+architecture a of buggy is
+begin
+
+p: process
+ variable v: real;
+begin
+ -- Remove the next line and GHDL does not crash
+ v := real((now / (1 ns)) * 1.0e-9);
+ wait;
+end process;
+
+end architecture a;
diff --git a/testsuite/gna/issue1624/testsuite.sh b/testsuite/gna/issue1624/testsuite.sh
new file mode 100755
index 000000000..11caa7c58
--- /dev/null
+++ b/testsuite/gna/issue1624/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze buggy.vhdl
+elab_simulate buggy
+
+clean
+
+echo "Test successful"