aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-08-23 02:00:49 +0200
committerTristan Gingold <tgingold@free.fr>2018-08-23 02:00:49 +0200
commit76ff857489906785d9e100c67302110d68dc7c8a (patch)
treea093a4f6430a8ba8572f0c575ff06bace35fabe4 /testsuite
parentc6ea9fa41ffa9cc0294bde8da14739a8eb7ac93d (diff)
downloadghdl-76ff857489906785d9e100c67302110d68dc7c8a.tar.gz
ghdl-76ff857489906785d9e100c67302110d68dc7c8a.tar.bz2
ghdl-76ff857489906785d9e100c67302110d68dc7c8a.zip
Add testcase for #636
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue636/e.vhdl5
-rwxr-xr-xtestsuite/gna/issue636/testsuite.sh9
2 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/issue636/e.vhdl b/testsuite/gna/issue636/e.vhdl
new file mode 100644
index 000000000..df1b87803
--- /dev/null
+++ b/testsuite/gna/issue636/e.vhdl
@@ -0,0 +1,5 @@
+entity e is
+ function f(x:bit) return bit is begin return '0'; end function;
+ attribute a :bit;
+ constant c :bit := f('0')'a;
+end entity;
diff --git a/testsuite/gna/issue636/testsuite.sh b/testsuite/gna/issue636/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue636/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"