aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-03-09 18:44:08 +0100
committerTristan Gingold <tgingold@free.fr>2023-03-09 18:44:08 +0100
commit82e970042ce5133df5de05410d7d0d5a43ace90b (patch)
treef6eeed3ce305434db484b7c8091ccc2db1688b79 /testsuite
parent93754b34f0d154aa3aa39a86fcc914dc8daa9630 (diff)
downloadghdl-82e970042ce5133df5de05410d7d0d5a43ace90b.tar.gz
ghdl-82e970042ce5133df5de05410d7d0d5a43ace90b.tar.bz2
ghdl-82e970042ce5133df5de05410d7d0d5a43ace90b.zip
testsuite/synth: add a test for selected signal assignment
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/case01/case07.vhdl17
-rwxr-xr-xtestsuite/synth/case01/testsuite.sh5
2 files changed, 20 insertions, 2 deletions
diff --git a/testsuite/synth/case01/case07.vhdl b/testsuite/synth/case01/case07.vhdl
new file mode 100644
index 000000000..deecf1e75
--- /dev/null
+++ b/testsuite/synth/case01/case07.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity case07 is
+ port (a : std_logic_vector (4 downto 0);
+ o : out std_logic);
+end case07;
+
+architecture behav of case07 is
+begin
+ process (a)
+ begin
+ with a select o <=
+ '1' when "00000",
+ '0' when others;
+ end process;
+end behav;
diff --git a/testsuite/synth/case01/testsuite.sh b/testsuite/synth/case01/testsuite.sh
index ef530ebe1..673d3beec 100755
--- a/testsuite/synth/case01/testsuite.sh
+++ b/testsuite/synth/case01/testsuite.sh
@@ -6,7 +6,8 @@ for t in case01 case02 case03 case04; do
synth_tb $t
done
-synth case05.vhdl -e case05 > syn_case05.vhdl
-synth case06.vhdl -e case06 > syn_case06.vhdl
+for t in case05 case06 case07; do
+ synth_only $t
+done
echo "Test successful"