aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-12-12 18:26:10 +0100
committerTristan Gingold <tgingold@free.fr>2018-12-13 18:50:56 +0100
commit923ee4b7def1e71e8fc7bf4e4a58b17c350651d1 (patch)
treed97c57649c0ef5f6299f67f7776e30dd550a8d69 /testsuite
parent943fc68ec25a1a30666a6630d163ddff35d25b52 (diff)
downloadghdl-923ee4b7def1e71e8fc7bf4e4a58b17c350651d1.tar.gz
ghdl-923ee4b7def1e71e8fc7bf4e4a58b17c350651d1.tar.bz2
ghdl-923ee4b7def1e71e8fc7bf4e4a58b17c350651d1.zip
Add testcase for previous patch (and/or in PSL expressions).
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug093/repro.vhdl10
-rwxr-xr-xtestsuite/gna/bug093/testsuite.sh11
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/bug093/repro.vhdl b/testsuite/gna/bug093/repro.vhdl
new file mode 100644
index 000000000..14ec21d2a
--- /dev/null
+++ b/testsuite/gna/bug093/repro.vhdl
@@ -0,0 +1,10 @@
+entity repro is
+end repro;
+
+architecture behav of repro is
+ constant a : boolean := True;
+ constant b : boolean := False;
+ constant c : boolean := False;
+begin
+ assert (a and b) = c severity failure;
+end behav;
diff --git a/testsuite/gna/bug093/testsuite.sh b/testsuite/gna/bug093/testsuite.sh
new file mode 100755
index 000000000..8d22a2073
--- /dev/null
+++ b/testsuite/gna/bug093/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"