aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-05-29 06:04:56 +0200
committerTristan Gingold <tgingold@free.fr>2018-06-01 08:23:53 +0200
commit981322e4a8e3e09446bc37631fd2c46880ad4cfa (patch)
tree210a06723fc958d0af097c67fe2d03b5fcb20f1a /testsuite
parentaa9b985a82c68b5787c834c91359afd900a365b3 (diff)
downloadghdl-981322e4a8e3e09446bc37631fd2c46880ad4cfa.tar.gz
ghdl-981322e4a8e3e09446bc37631fd2c46880ad4cfa.tar.bz2
ghdl-981322e4a8e3e09446bc37631fd2c46880ad4cfa.zip
Add reproducer for #586
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue586/bug.vhdl6
-rw-r--r--testsuite/gna/issue586/bug_pkg.vhdl3
-rwxr-xr-xtestsuite/gna/issue586/testsuite.sh17
3 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue586/bug.vhdl b/testsuite/gna/issue586/bug.vhdl
new file mode 100644
index 000000000..5c0ec5272
--- /dev/null
+++ b/testsuite/gna/issue586/bug.vhdl
@@ -0,0 +1,6 @@
+use work.bug_pkg.t_zero_one; -- .all works
+entity bug is
+ generic (
+ test : t_zero_one := zero
+ );
+end entity bug;
diff --git a/testsuite/gna/issue586/bug_pkg.vhdl b/testsuite/gna/issue586/bug_pkg.vhdl
new file mode 100644
index 000000000..e683fc794
--- /dev/null
+++ b/testsuite/gna/issue586/bug_pkg.vhdl
@@ -0,0 +1,3 @@
+package bug_pkg is
+ type t_zero_one is (zero, one);
+end package bug_pkg;
diff --git a/testsuite/gna/issue586/testsuite.sh b/testsuite/gna/issue586/testsuite.sh
new file mode 100755
index 000000000..f7fa6d979
--- /dev/null
+++ b/testsuite/gna/issue586/testsuite.sh
@@ -0,0 +1,17 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze bug_pkg.vhdl
+analyze bug.vhdl
+
+clean
+
+export GHDL_STD_FLAGS=--std=02
+analyze bug_pkg.vhdl
+analyze_failure bug.vhdl
+
+clean
+
+echo "Test successful"