aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-29 03:50:41 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-29 03:50:41 +0100
commit9ba1b51a8e8d55f01f6c71dbe381b79c73443ea8 (patch)
tree8ed60f560aff57e5eb5f583c0551e5abb187f9dc /testsuite
parent368f0c5ee747bdbc347217b0b866f05d5e02187d (diff)
downloadghdl-9ba1b51a8e8d55f01f6c71dbe381b79c73443ea8.tar.gz
ghdl-9ba1b51a8e8d55f01f6c71dbe381b79c73443ea8.tar.bz2
ghdl-9ba1b51a8e8d55f01f6c71dbe381b79c73443ea8.zip
Add bug20703
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug20703/t.vhdl10
-rwxr-xr-xtestsuite/gna/bug20703/testsuite.sh10
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/bug20703/t.vhdl b/testsuite/gna/bug20703/t.vhdl
new file mode 100644
index 000000000..6b6c34b63
--- /dev/null
+++ b/testsuite/gna/bug20703/t.vhdl
@@ -0,0 +1,10 @@
+entity t is end t;
+
+architecture behav of t is
+begin
+ process
+ begin
+ report "val = " & "";
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/bug20703/testsuite.sh b/testsuite/gna/bug20703/testsuite.sh
new file mode 100755
index 000000000..99051f347
--- /dev/null
+++ b/testsuite/gna/bug20703/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze t.vhdl
+elab_simulate t
+
+clean
+
+echo "Test successful"