aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-06-20 14:24:56 +0200
committerTristan Gingold <tgingold@free.fr>2015-06-20 14:24:56 +0200
commitc823d41669c55d6c0bdb8de5ef45a407ac4f25bd (patch)
treed38d297789deb9eaeaecfbda9965010fb66a27e4 /testsuite
parentd08386567e47854722e2b3a92720737837ca0bbd (diff)
downloadghdl-c823d41669c55d6c0bdb8de5ef45a407ac4f25bd.tar.gz
ghdl-c823d41669c55d6c0bdb8de5ef45a407ac4f25bd.tar.bz2
ghdl-c823d41669c55d6c0bdb8de5ef45a407ac4f25bd.zip
Adjust previous patch.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/ticket89/repro2.vhdl24
-rwxr-xr-xtestsuite/gna/ticket89/testsuite.sh4
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/ticket89/repro2.vhdl b/testsuite/gna/ticket89/repro2.vhdl
new file mode 100644
index 000000000..173fdcc2d
--- /dev/null
+++ b/testsuite/gna/ticket89/repro2.vhdl
@@ -0,0 +1,24 @@
+entity repro2 is
+end repro2;
+
+architecture behav of repro2 is
+ signal s : natural;
+begin -- behav
+ process (s) is
+ variable v : natural;
+ begin
+ v := s'delayed (10 ns);
+ end process;
+
+ process
+ begin
+ s <= 3;
+ wait for 0 ns;
+ s <= 4;
+ wait for 0 ns;
+ s <= 5;
+ wait for 0 ns;
+ s <= 5;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/ticket89/testsuite.sh b/testsuite/gna/ticket89/testsuite.sh
index e383bbc32..519bbe142 100755
--- a/testsuite/gna/ticket89/testsuite.sh
+++ b/testsuite/gna/ticket89/testsuite.sh
@@ -4,6 +4,10 @@
analyze repro.vhdl
elab_simulate repro
+
+analyze repro2.vhdl
+elab_simulate repro2
+
clean
GHDL_FLAGS=--work=ieee_proposed