aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-18 19:22:04 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-19 07:40:57 +0100
commitd00af0f399558b6d0d7e84ec58050921a0648204 (patch)
tree60b1498dc53ed5d5669ed0af3810104d60c35170 /testsuite
parent3f1d627106ca2dd3097375c61739b5c92df23a22 (diff)
downloadghdl-d00af0f399558b6d0d7e84ec58050921a0648204.tar.gz
ghdl-d00af0f399558b6d0d7e84ec58050921a0648204.tar.bz2
ghdl-d00af0f399558b6d0d7e84ec58050921a0648204.zip
testsuite/gna: add testcase for #1612
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1612/exposep.vhdl24
-rw-r--r--testsuite/gna/issue1612/repro.vhdl28
-rwxr-xr-xtestsuite/gna/issue1612/testsuite.sh10
3 files changed, 62 insertions, 0 deletions
diff --git a/testsuite/gna/issue1612/exposep.vhdl b/testsuite/gna/issue1612/exposep.vhdl
new file mode 100644
index 000000000..1078d2865
--- /dev/null
+++ b/testsuite/gna/issue1612/exposep.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity expose_problem is
+ port (
+ arg : in std_logic_vector);
+end entity;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity expose is
+ generic (
+ ARG : std_logic_vector);
+end entity;
+
+architecture rtl of expose is
+
+begin
+ expose_problem_1 : entity work.expose_problem
+ port map (
+ arg => ARG
+ );
+end architecture;
diff --git a/testsuite/gna/issue1612/repro.vhdl b/testsuite/gna/issue1612/repro.vhdl
new file mode 100644
index 000000000..cf884522b
--- /dev/null
+++ b/testsuite/gna/issue1612/repro.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity expose_problem is
+ port (
+ arg : in std_logic_vector);
+end entity;
+
+architecture behav of expose_problem is
+begin
+end behav;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity expose is
+ generic (
+ ARG : std_logic_vector := x"56");
+end entity;
+
+architecture rtl of expose is
+
+begin
+ expose_problem_1 : entity work.expose_problem
+ port map (
+ arg => ARG
+ );
+end architecture;
diff --git a/testsuite/gna/issue1612/testsuite.sh b/testsuite/gna/issue1612/testsuite.sh
new file mode 100755
index 000000000..2d3f46835
--- /dev/null
+++ b/testsuite/gna/issue1612/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate expose
+
+clean
+
+echo "Test successful"