aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-08-13 05:53:03 +0200
committerTristan Gingold <tgingold@free.fr>2018-08-13 05:53:03 +0200
commitded2825eb086493655d90b499c3dcf3abd9e5867 (patch)
tree996cb37fa62ef2db632d628c51127f36c71fedb5 /testsuite
parentcefd5a01505eb6c0b8c4acdec36d02b8f8bc2de4 (diff)
downloadghdl-ded2825eb086493655d90b499c3dcf3abd9e5867.tar.gz
ghdl-ded2825eb086493655d90b499c3dcf3abd9e5867.tar.bz2
ghdl-ded2825eb086493655d90b499c3dcf3abd9e5867.zip
Add reprocedure for #634
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/gna/issue634/testsuite.sh10
-rw-r--r--testsuite/gna/issue634/top.vhdl15
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue634/testsuite.sh b/testsuite/gna/issue634/testsuite.sh
new file mode 100755
index 000000000..e0b0e2fec
--- /dev/null
+++ b/testsuite/gna/issue634/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze top.vhdl
+elab_simulate_failure top_ent
+
+clean
+
+echo "Test successful"
diff --git a/testsuite/gna/issue634/top.vhdl b/testsuite/gna/issue634/top.vhdl
new file mode 100644
index 000000000..0dd7a47a2
--- /dev/null
+++ b/testsuite/gna/issue634/top.vhdl
@@ -0,0 +1,15 @@
+use std.textio.all;
+
+entity top_ent is
+end entity;
+
+architecture default of top_ent is
+ file fh : text;
+begin
+ process
+ begin
+ if endfile(fh) then
+ null;
+ end if;
+ end process;
+end architecture;