diff options
-rw-r--r-- | testsuite/pyunit/SimplePackage.vhdl | 4 |
1 files changed, 3 insertions, 1 deletions
diff --git a/testsuite/pyunit/SimplePackage.vhdl b/testsuite/pyunit/SimplePackage.vhdl index 0571e7060..dca7e15dc 100644 --- a/testsuite/pyunit/SimplePackage.vhdl +++ b/testsuite/pyunit/SimplePackage.vhdl @@ -2,12 +2,14 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; +-- Documentation before pack_1 package pack_1 is + -- Global constant const_1 constant const_1 : boolean := false; end package; package body pack_1 is - constant const_1 : boolean := true; + constant const_2 : boolean := true; end package body; |