aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rwxr-xr-xtestsuite/gna/bug019/testsuite.sh2
-rwxr-xr-xtestsuite/gna/bug028/testsuite.sh3
-rwxr-xr-xtestsuite/gna/bug047/testsuite.sh2
-rwxr-xr-xtestsuite/gna/issue2/testsuite.sh3
-rwxr-xr-xtestsuite/gna/issue30/testsuite.sh3
-rwxr-xr-xtestsuite/gna/ticket18/testsuite.sh4
-rwxr-xr-xtestsuite/gna/ticket19/testsuite.sh2
-rwxr-xr-xtestsuite/gna/ticket89/testsuite.sh4
-rw-r--r--testsuite/testenv.sh20
9 files changed, 20 insertions, 23 deletions
diff --git a/testsuite/gna/bug019/testsuite.sh b/testsuite/gna/bug019/testsuite.sh
index 020a4556a..aaeebf407 100755
--- a/testsuite/gna/bug019/testsuite.sh
+++ b/testsuite/gna/bug019/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-GHDL_FLAGS="--syn-binding -g"
+GHDL_STD_FLAGS="--syn-binding -g"
analyze --work=poc PoC/tb/common/my_config_ML505.vhdl
analyze --work=poc PoC/tb/common/my_project.vhdl
diff --git a/testsuite/gna/bug028/testsuite.sh b/testsuite/gna/bug028/testsuite.sh
index 8e82665d1..2317900ac 100755
--- a/testsuite/gna/bug028/testsuite.sh
+++ b/testsuite/gna/bug028/testsuite.sh
@@ -2,8 +2,7 @@
. ../../testenv.sh
-GHDL_STD_FLAGS=--std=08
-GHDL_FLAGS=--ieee=mentor
+GHDL_STD_FLAGS="--std=08 --ieee=mentor"
analyze simple.vhdl
clean
diff --git a/testsuite/gna/bug047/testsuite.sh b/testsuite/gna/bug047/testsuite.sh
index 11838e49a..bc6a15a40 100755
--- a/testsuite/gna/bug047/testsuite.sh
+++ b/testsuite/gna/bug047/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-#GHDL_FLAGS=--ieee=synopsys
+#GHDL_STD_FLAGS=--ieee=synopsys
analyze_failure repro_arith.vhdl
clean
diff --git a/testsuite/gna/issue2/testsuite.sh b/testsuite/gna/issue2/testsuite.sh
index bad36b3e9..d410aec64 100755
--- a/testsuite/gna/issue2/testsuite.sh
+++ b/testsuite/gna/issue2/testsuite.sh
@@ -2,8 +2,7 @@
. ../../testenv.sh
-GHDL_STD_FLAGS=--std=08
-GHDL_FLAGS=--work=test
+GHDL_STD_FLAGS="--std=08 --work=test"
analyze repro.vhdl
analyze repro2.vhdl
diff --git a/testsuite/gna/issue30/testsuite.sh b/testsuite/gna/issue30/testsuite.sh
index 39db46a30..3b7931bf4 100755
--- a/testsuite/gna/issue30/testsuite.sh
+++ b/testsuite/gna/issue30/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-GHDL_FLAGS=--ieee=synopsys
+GHDL_STD_FLAGS=--ieee=synopsys
analyze definitions.vhdl
analyze alu.vhdl
analyze basicblocks.vhdl
@@ -12,4 +12,3 @@ elab_simulate tb_alu --stop-time=50ns
clean
echo "Test successful"
-
diff --git a/testsuite/gna/ticket18/testsuite.sh b/testsuite/gna/ticket18/testsuite.sh
index 86192a5db..1758cd912 100755
--- a/testsuite/gna/ticket18/testsuite.sh
+++ b/testsuite/gna/ticket18/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-GHDL_FLAGS="-fpsl --std=02"
+GHDL_STD_FLAGS="-fpsl --std=02"
analyze psl_test_error.vhd
elab_simulate psl_test_error --stop-time=200ns
@@ -10,4 +10,4 @@ elab_simulate psl_test_error --stop-time=200ns
analyze psl_test_working.vhd
elab_simulate psl_test_working --stop-time=200ns
-clean \ No newline at end of file
+clean
diff --git a/testsuite/gna/ticket19/testsuite.sh b/testsuite/gna/ticket19/testsuite.sh
index a594dfcaf..9228abecd 100755
--- a/testsuite/gna/ticket19/testsuite.sh
+++ b/testsuite/gna/ticket19/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-GHDL_FLAGS="-fpsl --std=02"
+GHDL_STD_FLAGS="-fpsl --std=02"
analyze psl_test_cover.vhd
elab_simulate psl_test_cover --stop-time=200ns
diff --git a/testsuite/gna/ticket89/testsuite.sh b/testsuite/gna/ticket89/testsuite.sh
index 1c37dc9ff..5036b73ef 100755
--- a/testsuite/gna/ticket89/testsuite.sh
+++ b/testsuite/gna/ticket89/testsuite.sh
@@ -10,12 +10,12 @@ elab_simulate repro2
clean
-GHDL_FLAGS=--work=ieee_proposed
+GHDL_STD_FLAGS=--work=ieee_proposed
analyze x_ieee_proposed/src/std_logic_1164_additions.vhdl
analyze x_ieee_proposed/src/standard_additions_c.vhdl
analyze x_ieee_proposed/src/standard_textio_additions_c.vhdl
-GHDL_FLAGS=--work=bitvis_util
+GHDL_STD_FLAGS=--work=bitvis_util
analyze project/src93/types_pkg.vhd
analyze project/src93/adaptations_pkg.vhd
analyze project/src93/string_methods_pkg.vhd
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 4ab0d06bc..f6f271cac 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -10,9 +10,10 @@
# User defined variables (can be set to run the testsuite in some
# configuration, such as optimization or debugging):
# GHDL_STD_FLAGS
-# GHDL_FLAGS
-# GHDL_ELABFLAGS
-# GHDL_SIMFLAGS
+#
+# Testbench flags. Can be used to run the whole testsuite under a specific
+# flag (like -O, -g). Should not be set in testsuite.sh files.
+# GHDL_FLAGS
#GHDL=ghdl
RM=rm
@@ -59,7 +60,7 @@ analyze_failure ()
elab ()
{
echo "elaborate $@"
- "$GHDL" -e $GHDL_STD_FLAGS $GHDL_FLAGS $GHDL_ELABFLAGS $@
+ "$GHDL" -e $GHDL_STD_FLAGS $GHDL_FLAGS $@
}
# Elaborate a design (failure expected)
@@ -67,15 +68,15 @@ elab ()
elab_failure ()
{
echo "elaborate (failure expected) $@"
- "$GHDL" -e --expect-failure $GHDL_STD_FLAGS $GHDL_FLAGS $GHDL_ELABFLAGS $@
+ "$GHDL" -e --expect-failure $GHDL_STD_FLAGS $GHDL_FLAGS $@
}
# Simulate a design (no error expected)
# Note: somewhat deprecated, use elab_simulate instead.
simulate ()
{
- echo "simulate $@ ($GHDL_FLAGS $@ $GHDL_SIMFLAGS)" >&2
- "$GHDL" -r $GHDL_STD_FLAGS $GHDL_FLAGS "$@" $GHDL_SIMFLAGS
+ echo "simulate $@ ($GHDL_FLAGS $@)" >&2
+ "$GHDL" -r $GHDL_STD_FLAGS $GHDL_FLAGS "$@"
#./$@
}
@@ -92,15 +93,14 @@ simulate_failure ()
elab_simulate ()
{
echo "elaborate and simulate $@"
- "$GHDL" --elab-run $GHDL_STD_FLAGS $GHDL_FLAGS $GHDL_ELABFLAGS $@
+ "$GHDL" --elab-run $GHDL_STD_FLAGS $GHDL_FLAGS $@
}
# Elaborate and simulate a design (failure expected)
elab_simulate_failure ()
{
echo "elaborate and simulate (failure expected) $@"
- "$GHDL" --elab-run $GHDL_STD_FLAGS $GHDL_FLAGS $GHDL_ELABFLAGS \
- $@ --expect-failure
+ "$GHDL" --elab-run $GHDL_STD_FLAGS $GHDL_FLAGS $@ --expect-failure
}
synth()