aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue899/testing.vhdl13
-rwxr-xr-xtestsuite/gna/issue899/testsuite.sh11
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue899/testing.vhdl b/testsuite/gna/issue899/testing.vhdl
new file mode 100644
index 000000000..dc1b7df3e
--- /dev/null
+++ b/testsuite/gna/issue899/testing.vhdl
@@ -0,0 +1,13 @@
+library IEEE;
+use IEEE.NUMERIC_STD.ALL;
+
+entity testing is
+generic(
+ GENVAL : integer := 4);
+end entity;
+
+architecture RTL of testing is
+ type pixel_line is array(natural range <>) of bit_vector;
+ signal data_in : pixel_line(0 to GENVAL)(7 downto 0);
+begin
+end architecture;
diff --git a/testsuite/gna/issue899/testsuite.sh b/testsuite/gna/issue899/testsuite.sh
new file mode 100755
index 000000000..ccccd3a6a
--- /dev/null
+++ b/testsuite/gna/issue899/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze testing.vhdl
+elab_simulate testing
+
+clean
+
+echo "Test successful"