aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue419/bug.vhdl15
-rw-r--r--testsuite/gna/issue419/bug2.vhdl32
-rw-r--r--testsuite/gna/issue419/bug3.vhdl27
-rwxr-xr-xtestsuite/gna/issue419/testsuite.sh11
4 files changed, 85 insertions, 0 deletions
diff --git a/testsuite/gna/issue419/bug.vhdl b/testsuite/gna/issue419/bug.vhdl
new file mode 100644
index 000000000..311db4cac
--- /dev/null
+++ b/testsuite/gna/issue419/bug.vhdl
@@ -0,0 +1,15 @@
+package pkg is
+ procedure other_proc(value : boolean);
+ procedure other_proc(value : integer);
+
+ procedure proc(other_proc : integer);
+ procedure proc;
+
+end package;
+
+package body pkg is
+ procedure proc2 is
+ begin
+ proc(other_proc => 0);
+ end;
+end package body;
diff --git a/testsuite/gna/issue419/bug2.vhdl b/testsuite/gna/issue419/bug2.vhdl
new file mode 100644
index 000000000..ee813c6cc
--- /dev/null
+++ b/testsuite/gna/issue419/bug2.vhdl
@@ -0,0 +1,32 @@
+package pkg is
+ procedure other_proc(value : boolean);
+ procedure other_proc(value : integer);
+
+ procedure proc(other_proc : integer);
+ procedure proc;
+
+end package;
+
+package body pkg is
+ procedure other_proc(value : boolean) is
+ begin
+ null;
+ end;
+ procedure other_proc(value : integer) is
+ begin
+ null;
+ end;
+
+ procedure proc(other_proc : integer) is
+ begin
+ null;
+ end;
+ procedure proc is
+ begin
+ null;
+ end;
+ procedure proc2 is
+ begin
+ proc(other_proc => 0);
+ end;
+end package body;
diff --git a/testsuite/gna/issue419/bug3.vhdl b/testsuite/gna/issue419/bug3.vhdl
new file mode 100644
index 000000000..7573be7e0
--- /dev/null
+++ b/testsuite/gna/issue419/bug3.vhdl
@@ -0,0 +1,27 @@
+package pkg is
+ procedure other_proc(value : boolean);
+ procedure other_proc(value : integer);
+
+ procedure proc;
+
+end package;
+
+package body pkg is
+ procedure other_proc(value : boolean) is
+ begin
+ null;
+ end;
+ procedure other_proc(value : integer) is
+ begin
+ null;
+ end;
+
+ procedure proc is
+ begin
+ null;
+ end;
+ procedure proc2 is
+ begin
+ proc(other_proc => 0);
+ end;
+end package body;
diff --git a/testsuite/gna/issue419/testsuite.sh b/testsuite/gna/issue419/testsuite.sh
new file mode 100755
index 000000000..3c33cd323
--- /dev/null
+++ b/testsuite/gna/issue419/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure bug.vhdl
+analyze_failure bug3.vhdl
+analyze bug2.vhdl
+
+clean
+
+echo "Test successful"