aboutsummaryrefslogtreecommitdiffstats
path: root/doc/quick_start/adder/adder.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'doc/quick_start/adder/adder.vhdl')
-rw-r--r--doc/quick_start/adder/adder.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/doc/quick_start/adder/adder.vhdl b/doc/quick_start/adder/adder.vhdl
new file mode 100644
index 000000000..cf60e8fbe
--- /dev/null
+++ b/doc/quick_start/adder/adder.vhdl
@@ -0,0 +1,14 @@
+entity adder is
+ -- `i0`, `i1`, and the carry-in `ci` are inputs of the adder.
+ -- `s` is the sum output, `co` is the carry-out.
+ port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit);
+end adder;
+
+architecture rtl of adder is
+begin
+ -- This full-adder architecture contains two concurrent assignments.
+ -- Compute the sum.
+ s <= i0 xor i1 xor ci;
+ -- Compute the carry.
+ co <= (i0 and i1) or (i0 and ci) or (i1 and ci);
+end rtl;