aboutsummaryrefslogtreecommitdiffstats
path: root/doc/quick_start/heartbeat/heartbeat.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'doc/quick_start/heartbeat/heartbeat.vhdl')
-rw-r--r--doc/quick_start/heartbeat/heartbeat.vhdl20
1 files changed, 0 insertions, 20 deletions
diff --git a/doc/quick_start/heartbeat/heartbeat.vhdl b/doc/quick_start/heartbeat/heartbeat.vhdl
deleted file mode 100644
index 0a312641e..000000000
--- a/doc/quick_start/heartbeat/heartbeat.vhdl
+++ /dev/null
@@ -1,20 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity heartbeat is
- port ( clk: out std_logic);
-end heartbeat;
-
-architecture behaviour of heartbeat
-is
- constant clk_period : time := 10 ns;
-begin
- -- Clock process definition
- clk_process: process
- begin
- clk <= '0';
- wait for clk_period/2;
- clk <= '1';
- wait for clk_period/2;
- end process;
-end behaviour;