aboutsummaryrefslogtreecommitdiffstats
path: root/doc/quick_start/simulation/heartbeat/heartbeat.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'doc/quick_start/simulation/heartbeat/heartbeat.vhdl')
-rw-r--r--doc/quick_start/simulation/heartbeat/heartbeat.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/doc/quick_start/simulation/heartbeat/heartbeat.vhdl b/doc/quick_start/simulation/heartbeat/heartbeat.vhdl
new file mode 100644
index 000000000..0a312641e
--- /dev/null
+++ b/doc/quick_start/simulation/heartbeat/heartbeat.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity heartbeat is
+ port ( clk: out std_logic);
+end heartbeat;
+
+architecture behaviour of heartbeat
+is
+ constant clk_period : time := 10 ns;
+begin
+ -- Clock process definition
+ clk_process: process
+ begin
+ clk <= '0';
+ wait for clk_period/2;
+ clk <= '1';
+ wait for clk_period/2;
+ end process;
+end behaviour;