aboutsummaryrefslogtreecommitdiffstats
path: root/libraries/ieee/numeric_bit.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'libraries/ieee/numeric_bit.vhdl')
-rw-r--r--libraries/ieee/numeric_bit.vhdl20
1 files changed, 10 insertions, 10 deletions
diff --git a/libraries/ieee/numeric_bit.vhdl b/libraries/ieee/numeric_bit.vhdl
index 8f049f21a..3364e3684 100644
--- a/libraries/ieee/numeric_bit.vhdl
+++ b/libraries/ieee/numeric_bit.vhdl
@@ -599,7 +599,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.9
- function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
+ function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --!V87
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_LEFT(ARG, COUNT)
@@ -608,7 +608,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.10
- function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
+ function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --!V87
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_LEFT(ARG, COUNT)
@@ -617,7 +617,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.11
- function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
+ function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --!V87
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: SHIFT_RIGHT(ARG, COUNT)
@@ -626,7 +626,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.12
- function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
+ function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --!V87
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT))
@@ -635,7 +635,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.13
- function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
+ function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --!V87
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_LEFT(ARG, COUNT)
@@ -644,7 +644,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.14
- function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
+ function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --!V87
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_LEFT(ARG, COUNT)
@@ -653,7 +653,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.15
- function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93
+ function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --!V87
-- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_RIGHT(ARG, COUNT)
@@ -662,7 +662,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: S.16
- function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93
+ function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --!V87
-- Result subtype: SIGNED(ARG'LENGTH-1 downto 0)
-- Result: ROTATE_RIGHT(ARG, COUNT)
@@ -751,7 +751,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: L.7
- function "xnor" (L, R: UNSIGNED) return UNSIGNED; --V93
+ function "xnor" (L, R: UNSIGNED) return UNSIGNED; --!V87
-- Result subtype: UNSIGNED(L'LENGTH-1 downto 0)
-- Result: Vector XNOR operation
@@ -790,7 +790,7 @@ package NUMERIC_BIT is
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
------------------------------------------------------------------------------
-- Id: L.14
- function "xnor" (L, R: SIGNED) return SIGNED; --V93
+ function "xnor" (L, R: SIGNED) return SIGNED; --!V87
-- Result subtype: SIGNED(L'LENGTH-1 downto 0)
-- Result: Vector XNOR operation