aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/libghdl/vhdl/ieee.py
diff options
context:
space:
mode:
Diffstat (limited to 'pyGHDL/libghdl/vhdl/ieee.py')
-rw-r--r--pyGHDL/libghdl/vhdl/ieee.py3
1 files changed, 2 insertions, 1 deletions
diff --git a/pyGHDL/libghdl/vhdl/ieee.py b/pyGHDL/libghdl/vhdl/ieee.py
index 35db1a631..0010e5c5a 100644
--- a/pyGHDL/libghdl/vhdl/ieee.py
+++ b/pyGHDL/libghdl/vhdl/ieee.py
@@ -1,6 +1,7 @@
-from libghdl import libghdl
+from pyGHDL.libghdl import libghdl
from ctypes import c_int
+
Std_Logic_1164_Pkg = c_int.in_dll(
libghdl, "vhdl__ieee__std_logic_1164__std_logic_1164_pkg"
)