aboutsummaryrefslogtreecommitdiffstats
path: root/python/libghdl/thin
diff options
context:
space:
mode:
Diffstat (limited to 'python/libghdl/thin')
-rw-r--r--python/libghdl/thin/errorout.py1
-rw-r--r--python/libghdl/thin/errorout_memory.py15
-rw-r--r--python/libghdl/thin/files_map.py5
-rw-r--r--python/libghdl/thin/flags.py6
-rw-r--r--python/libghdl/thin/libraries.py3
-rw-r--r--python/libghdl/thin/name_table.py2
-rw-r--r--python/libghdl/thin/vhdl/canon.py12
-rw-r--r--python/libghdl/thin/vhdl/ieee.py15
-rw-r--r--python/libghdl/thin/vhdl/lists.py6
-rw-r--r--python/libghdl/thin/vhdl/nodes.py277
-rw-r--r--python/libghdl/thin/vhdl/nodes_meta.py1099
-rw-r--r--python/libghdl/thin/vhdl/nodes_utils.py9
-rw-r--r--python/libghdl/thin/vhdl/parse.py3
-rw-r--r--python/libghdl/thin/vhdl/pyutils.py198
-rw-r--r--python/libghdl/thin/vhdl/std_package.py6
-rw-r--r--python/libghdl/thin/vhdl/tokens.py2
16 files changed, 715 insertions, 944 deletions
diff --git a/python/libghdl/thin/errorout.py b/python/libghdl/thin/errorout.py
index 72df62b06..af0da5fc6 100644
--- a/python/libghdl/thin/errorout.py
+++ b/python/libghdl/thin/errorout.py
@@ -3,7 +3,6 @@ from libghdl import libghdl
Enable_Warning = libghdl.errorout__enable_warning
-
class Msgid:
Msgid_Note = 0
Warnid_Library = 1
diff --git a/python/libghdl/thin/errorout_memory.py b/python/libghdl/thin/errorout_memory.py
index 8027795df..f236f1075 100644
--- a/python/libghdl/thin/errorout_memory.py
+++ b/python/libghdl/thin/errorout_memory.py
@@ -1,13 +1,16 @@
from libghdl import libghdl
from ctypes import c_int8, c_int32, c_char_p, Structure
+
class Error_Message(Structure):
- _fields_ = [("id", c_int8),
- ("group", c_int8),
- ("file", c_int32),
- ("line", c_int32),
- ("offset", c_int32),
- ("length", c_int32)]
+ _fields_ = [
+ ("id", c_int8),
+ ("group", c_int8),
+ ("file", c_int32),
+ ("line", c_int32),
+ ("offset", c_int32),
+ ("length", c_int32),
+ ]
# Values for group:
diff --git a/python/libghdl/thin/files_map.py b/python/libghdl/thin/files_map.py
index 0025c9178..d27209e7a 100644
--- a/python/libghdl/thin/files_map.py
+++ b/python/libghdl/thin/files_map.py
@@ -1,7 +1,7 @@
from libghdl import libghdl
from ctypes import c_void_p
-EOT = b'\x04'
+EOT = b"\x04"
No_Source_File_Entry = 0
@@ -13,8 +13,7 @@ Location_File_To_Pos = libghdl.files_map__location_file_to_pos
Location_File_To_Line = libghdl.files_map__location_file_to_line
-Location_File_Line_To_Offset = \
- libghdl.files_map__location_file_line_to_offset
+Location_File_Line_To_Offset = libghdl.files_map__location_file_line_to_offset
Location_File_Line_To_Col = libghdl.files_map__location_file_line_to_col
diff --git a/python/libghdl/thin/flags.py b/python/libghdl/thin/flags.py
index cc49c1651..3a82950a0 100644
--- a/python/libghdl/thin/flags.py
+++ b/python/libghdl/thin/flags.py
@@ -8,7 +8,7 @@ Flag_Elocations = c_bool.in_dll(libghdl, "flags__flag_elocations")
Verbose = c_bool.in_dll(libghdl, "flags__verbose")
Flag_Elaborate_With_Outdated = c_bool.in_dll(
- libghdl, "flags__flag_elaborate_with_outdated")
+ libghdl, "flags__flag_elaborate_with_outdated"
+)
-Flag_Force_Analysis = c_bool.in_dll(
- libghdl, "flags__flag_force_analysis")
+Flag_Force_Analysis = c_bool.in_dll(libghdl, "flags__flag_force_analysis")
diff --git a/python/libghdl/thin/libraries.py b/python/libghdl/thin/libraries.py
index f0babf106..625f7fdd1 100644
--- a/python/libghdl/thin/libraries.py
+++ b/python/libghdl/thin/libraries.py
@@ -3,8 +3,7 @@ from ctypes import c_int32
Get_Libraries_Chain = libghdl.libraries__get_libraries_chain
-Add_Design_Unit_Into_Library = \
- libghdl.libraries__add_design_unit_into_library
+Add_Design_Unit_Into_Library = libghdl.libraries__add_design_unit_into_library
# Use .value
Library_Location = c_int32.in_dll(libghdl, "libraries__library_location")
diff --git a/python/libghdl/thin/name_table.py b/python/libghdl/thin/name_table.py
index cda0b3e1c..c41973ec1 100644
--- a/python/libghdl/thin/name_table.py
+++ b/python/libghdl/thin/name_table.py
@@ -8,7 +8,9 @@ Get_Name_Ptr.restype = c_char_p
_Get_Identifier_With_Len = libghdl.name_table__get_identifier_with_len
+
def Get_Identifier(s):
return _Get_Identifier_With_Len(c_char_p(s), len(s))
+
Null_Identifier = 0
diff --git a/python/libghdl/thin/vhdl/canon.py b/python/libghdl/thin/vhdl/canon.py
index 48f421618..8cd5637d3 100644
--- a/python/libghdl/thin/vhdl/canon.py
+++ b/python/libghdl/thin/vhdl/canon.py
@@ -2,13 +2,13 @@ from libghdl import libghdl
from ctypes import c_bool
Flag_Concurrent_Stmts = c_bool.in_dll(
- libghdl, "vhdl__canon__canon_flag_concurrent_stmts")
+ libghdl, "vhdl__canon__canon_flag_concurrent_stmts"
+)
-Flag_Configurations = c_bool.in_dll(
- libghdl, "vhdl__canon__canon_flag_configurations")
+Flag_Configurations = c_bool.in_dll(libghdl, "vhdl__canon__canon_flag_configurations")
-Flag_Associations = c_bool.in_dll(
- libghdl, "vhdl__canon__canon_flag_associations")
+Flag_Associations = c_bool.in_dll(libghdl, "vhdl__canon__canon_flag_associations")
-Extract_Sequential_Statement_Chain_Sensitivity = \
+Extract_Sequential_Statement_Chain_Sensitivity = (
libghdl.vhdl__canon__canon_extract_sequential_statement_chain_sensitivity
+)
diff --git a/python/libghdl/thin/vhdl/ieee.py b/python/libghdl/thin/vhdl/ieee.py
index d1192537d..67eb2664b 100644
--- a/python/libghdl/thin/vhdl/ieee.py
+++ b/python/libghdl/thin/vhdl/ieee.py
@@ -2,20 +2,19 @@ from libghdl import libghdl
from ctypes import c_int
Std_Logic_1164_Pkg = c_int.in_dll(
- libghdl, "vhdl__ieee__std_logic_1164__std_logic_1164_pkg")
+ libghdl, "vhdl__ieee__std_logic_1164__std_logic_1164_pkg"
+)
# Get value
-Std_Logic_Type = c_int.in_dll(
- libghdl, "vhdl__ieee__std_logic_1164__std_logic_type")
+Std_Logic_Type = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__std_logic_type")
# Get value
Std_Logic_Vector_Type = c_int.in_dll(
- libghdl, "vhdl__ieee__std_logic_1164__std_logic_vector_type")
+ libghdl, "vhdl__ieee__std_logic_1164__std_logic_vector_type"
+)
# Get value
-Rising_Edge = c_int.in_dll(
- libghdl, "vhdl__ieee__std_logic_1164__rising_edge")
+Rising_Edge = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__rising_edge")
# Get value
-Falling_Edge = c_int.in_dll(
- libghdl, "vhdl__ieee__std_logic_1164__falling_edge")
+Falling_Edge = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__falling_edge")
diff --git a/python/libghdl/thin/vhdl/lists.py b/python/libghdl/thin/vhdl/lists.py
index 8fc180073..bcaecb89b 100644
--- a/python/libghdl/thin/vhdl/lists.py
+++ b/python/libghdl/thin/vhdl/lists.py
@@ -3,10 +3,10 @@ from ctypes import c_int32, c_bool, POINTER, Structure
List_Type = c_int32
+
class Iterator(Structure):
- _fields_ = [("chunk", c_int32),
- ("chunk_idx", c_int32),
- ("remain", c_int32)]
+ _fields_ = [("chunk", c_int32), ("chunk_idx", c_int32), ("remain", c_int32)]
+
Iterate = libghdl.vhdl__lists__iterate
Iterate.argstype = [List_Type]
diff --git a/python/libghdl/thin/vhdl/nodes.py b/python/libghdl/thin/vhdl/nodes.py
index 75930441c..81999010a 100644
--- a/python/libghdl/thin/vhdl/nodes.py
+++ b/python/libghdl/thin/vhdl/nodes.py
@@ -10,7 +10,6 @@ Iir_Flist_Others = 1
Iir_Flist_All = 2
-
class Iir_Kind:
Unused = 0
Error = 1
@@ -330,23 +329,27 @@ class Iir_Kind:
class Iir_Kinds:
Variable_Assignment_Statement = [
Iir_Kind.Variable_Assignment_Statement,
- Iir_Kind.Conditional_Variable_Assignment_Statement]
+ Iir_Kind.Conditional_Variable_Assignment_Statement,
+ ]
Denoting_Name = [
Iir_Kind.Character_Literal,
Iir_Kind.Simple_Name,
Iir_Kind.Selected_Name,
Iir_Kind.Operator_Symbol,
- Iir_Kind.Reference_Name]
+ Iir_Kind.Reference_Name,
+ ]
Case_Choice = [
Iir_Kind.Choice_By_Range,
Iir_Kind.Choice_By_Expression,
- Iir_Kind.Choice_By_Others]
+ Iir_Kind.Choice_By_Others,
+ ]
Array_Type_Definition = [
Iir_Kind.Array_Type_Definition,
- Iir_Kind.Array_Subtype_Definition]
+ Iir_Kind.Array_Subtype_Definition,
+ ]
Library_Unit = [
Iir_Kind.Entity_Declaration,
@@ -358,31 +361,35 @@ class Iir_Kinds:
Iir_Kind.Vprop_Declaration,
Iir_Kind.Vunit_Declaration,
Iir_Kind.Package_Body,
- Iir_Kind.Architecture_Body]
+ Iir_Kind.Architecture_Body,
+ ]
Array_Choice = [
Iir_Kind.Choice_By_Range,
Iir_Kind.Choice_By_Expression,
Iir_Kind.Choice_By_Others,
- Iir_Kind.Choice_By_None]
+ Iir_Kind.Choice_By_None,
+ ]
Subprogram_Declaration = [
Iir_Kind.Function_Declaration,
- Iir_Kind.Procedure_Declaration]
+ Iir_Kind.Procedure_Declaration,
+ ]
Subtype_Attribute = [
Iir_Kind.Base_Attribute,
Iir_Kind.Subtype_Attribute,
- Iir_Kind.Element_Attribute]
+ Iir_Kind.Element_Attribute,
+ ]
Scalar_Subtype_Definition = [
Iir_Kind.Physical_Subtype_Definition,
Iir_Kind.Floating_Subtype_Definition,
Iir_Kind.Integer_Subtype_Definition,
- Iir_Kind.Enumeration_Subtype_Definition]
+ Iir_Kind.Enumeration_Subtype_Definition,
+ ]
- Subnature_Definition = [
- Iir_Kind.Array_Subnature_Definition]
+ Subnature_Definition = [Iir_Kind.Array_Subnature_Definition]
Literal = [
Iir_Kind.Integer_Literal,
@@ -390,22 +397,26 @@ class Iir_Kinds:
Iir_Kind.Null_Literal,
Iir_Kind.String_Literal8,
Iir_Kind.Physical_Int_Literal,
- Iir_Kind.Physical_Fp_Literal]
+ Iir_Kind.Physical_Fp_Literal,
+ ]
Nature_Indication = [
Iir_Kind.Scalar_Nature_Definition,
Iir_Kind.Record_Nature_Definition,
Iir_Kind.Array_Nature_Definition,
- Iir_Kind.Array_Subnature_Definition]
+ Iir_Kind.Array_Subnature_Definition,
+ ]
Process_Statement = [
Iir_Kind.Sensitized_Process_Statement,
- Iir_Kind.Process_Statement]
+ Iir_Kind.Process_Statement,
+ ]
Nature_Definition = [
Iir_Kind.Scalar_Nature_Definition,
Iir_Kind.Record_Nature_Definition,
- Iir_Kind.Array_Nature_Definition]
+ Iir_Kind.Array_Nature_Definition,
+ ]
Object_Declaration = [
Iir_Kind.Object_Alias_Declaration,
@@ -424,12 +435,10 @@ class Iir_Kinds:
Iir_Kind.Interface_Variable_Declaration,
Iir_Kind.Interface_Signal_Declaration,
Iir_Kind.Interface_File_Declaration,
- Iir_Kind.Interface_Quantity_Declaration]
+ Iir_Kind.Interface_Quantity_Declaration,
+ ]
- Clause = [
- Iir_Kind.Library_Clause,
- Iir_Kind.Use_Clause,
- Iir_Kind.Context_Reference]
+ Clause = [Iir_Kind.Library_Clause, Iir_Kind.Use_Clause, Iir_Kind.Context_Reference]
Type_And_Subtype_Definition = [
Iir_Kind.Access_Type_Definition,
@@ -449,16 +458,16 @@ class Iir_Kinds:
Iir_Kind.Enumeration_Type_Definition,
Iir_Kind.Integer_Type_Definition,
Iir_Kind.Floating_Type_Definition,
- Iir_Kind.Physical_Type_Definition]
+ Iir_Kind.Physical_Type_Definition,
+ ]
External_Name = [
Iir_Kind.External_Constant_Name,
Iir_Kind.External_Signal_Name,
- Iir_Kind.External_Variable_Name]
+ Iir_Kind.External_Variable_Name,
+ ]
- Dereference = [
- Iir_Kind.Dereference,
- Iir_Kind.Implicit_Dereference]
+ Dereference = [Iir_Kind.Dereference, Iir_Kind.Implicit_Dereference]
Primary_Unit = [
Iir_Kind.Entity_Declaration,
@@ -468,55 +477,63 @@ class Iir_Kinds:
Iir_Kind.Package_Instantiation_Declaration,
Iir_Kind.Vmode_Declaration,
Iir_Kind.Vprop_Declaration,
- Iir_Kind.Vunit_Declaration]
+ Iir_Kind.Vunit_Declaration,
+ ]
Record_Choice = [
Iir_Kind.Choice_By_Others,
Iir_Kind.Choice_By_None,
- Iir_Kind.Choice_By_Name]
+ Iir_Kind.Choice_By_Name,
+ ]
Functions_And_Literals = [
Iir_Kind.Enumeration_Literal,
- Iir_Kind.Function_Declaration]
+ Iir_Kind.Function_Declaration,
+ ]
Verification_Unit = [
Iir_Kind.Vmode_Declaration,
Iir_Kind.Vprop_Declaration,
- Iir_Kind.Vunit_Declaration]
+ Iir_Kind.Vunit_Declaration,
+ ]
- Secondary_Unit = [
- Iir_Kind.Package_Body,
- Iir_Kind.Architecture_Body]
+ Secondary_Unit = [Iir_Kind.Package_Body, Iir_Kind.Architecture_Body]
Package_Declaration = [
Iir_Kind.Package_Declaration,
- Iir_Kind.Package_Instantiation_Declaration]
+ Iir_Kind.Package_Instantiation_Declaration,
+ ]
Psl_Builtin = [
Iir_Kind.Psl_Prev,
Iir_Kind.Psl_Stable,
Iir_Kind.Psl_Rose,
- Iir_Kind.Psl_Fell]
+ Iir_Kind.Psl_Fell,
+ ]
Generate_Statement = [
Iir_Kind.If_Generate_Statement,
Iir_Kind.Case_Generate_Statement,
- Iir_Kind.For_Generate_Statement]
+ Iir_Kind.For_Generate_Statement,
+ ]
Composite_Subtype_Definition = [
Iir_Kind.Array_Subtype_Definition,
- Iir_Kind.Record_Subtype_Definition]
+ Iir_Kind.Record_Subtype_Definition,
+ ]
Choice = [
Iir_Kind.Choice_By_Range,
Iir_Kind.Choice_By_Expression,
Iir_Kind.Choice_By_Others,
Iir_Kind.Choice_By_None,
- Iir_Kind.Choice_By_Name]
+ Iir_Kind.Choice_By_Name,
+ ]
If_Case_Generate_Statement = [
Iir_Kind.If_Generate_Statement,
- Iir_Kind.Case_Generate_Statement]
+ Iir_Kind.Case_Generate_Statement,
+ ]
Simple_Concurrent_Statement = [
Iir_Kind.Sensitized_Process_Statement,
@@ -530,7 +547,8 @@ class Iir_Kinds:
Iir_Kind.Psl_Assert_Directive,
Iir_Kind.Psl_Assume_Directive,
Iir_Kind.Psl_Cover_Directive,
- Iir_Kind.Psl_Restrict_Directive]
+ Iir_Kind.Psl_Restrict_Directive,
+ ]
Non_Alias_Object_Declaration = [
Iir_Kind.File_Declaration,
@@ -542,26 +560,28 @@ class Iir_Kinds:
Iir_Kind.Interface_Constant_Declaration,
Iir_Kind.Interface_Variable_Declaration,
Iir_Kind.Interface_Signal_Declaration,
- Iir_Kind.Interface_File_Declaration]
+ Iir_Kind.Interface_File_Declaration,
+ ]
Entity_Aspect = [
Iir_Kind.Entity_Aspect_Entity,
Iir_Kind.Entity_Aspect_Configuration,
- Iir_Kind.Entity_Aspect_Open]
+ Iir_Kind.Entity_Aspect_Open,
+ ]
- Subprogram_Body = [
- Iir_Kind.Function_Body,
- Iir_Kind.Procedure_Body]
+ Subprogram_Body = [Iir_Kind.Function_Body, Iir_Kind.Procedure_Body]
Source_Quantity_Declaration = [
Iir_Kind.Spectrum_Quantity_Declaration,
- Iir_Kind.Noise_Quantity_Declaration]
+ Iir_Kind.Noise_Quantity_Declaration,
+ ]
Specification = [
Iir_Kind.Attribute_Specification,
Iir_Kind.Disconnection_Specification,
Iir_Kind.Step_Limit_Specification,
- Iir_Kind.Configuration_Specification]
+ Iir_Kind.Configuration_Specification,
+ ]
Dyadic_Operator = [
Iir_Kind.And_Operator,
@@ -595,7 +615,8 @@ class Iir_Kinds:
Iir_Kind.Division_Operator,
Iir_Kind.Modulus_Operator,
Iir_Kind.Remainder_Operator,
- Iir_Kind.Exponentiation_Operator]
+ Iir_Kind.Exponentiation_Operator,
+ ]
Expression_Attribute = [
Iir_Kind.Left_Type_Attribute,
@@ -642,7 +663,8 @@ class Iir_Kinds:
Iir_Kind.High_Array_Attribute,
Iir_Kind.Low_Array_Attribute,
Iir_Kind.Length_Array_Attribute,
- Iir_Kind.Ascending_Array_Attribute]
+ Iir_Kind.Ascending_Array_Attribute,
+ ]
Monadic_Operator = [
Iir_Kind.Identity_Operator,
@@ -656,7 +678,8 @@ class Iir_Kinds:
Iir_Kind.Reduction_Nand_Operator,
Iir_Kind.Reduction_Nor_Operator,
Iir_Kind.Reduction_Xor_Operator,
- Iir_Kind.Reduction_Xnor_Operator]
+ Iir_Kind.Reduction_Xnor_Operator,
+ ]
Interface_Declaration = [
Iir_Kind.Interface_Constant_Declaration,
@@ -668,7 +691,8 @@ class Iir_Kinds:
Iir_Kind.Interface_Type_Declaration,
Iir_Kind.Interface_Package_Declaration,
Iir_Kind.Interface_Function_Declaration,
- Iir_Kind.Interface_Procedure_Declaration]
+ Iir_Kind.Interface_Procedure_Declaration,
+ ]
Array_Attribute = [
Iir_Kind.Left_Array_Attribute,
@@ -678,7 +702,8 @@ class Iir_Kinds:
Iir_Kind.Length_Array_Attribute,
Iir_Kind.Ascending_Array_Attribute,
Iir_Kind.Range_Array_Attribute,
- Iir_Kind.Reverse_Range_Array_Attribute]
+ Iir_Kind.Reverse_Range_Array_Attribute,
+ ]
Sequential_Statement = [
Iir_Kind.Simple_Signal_Assignment_Statement,
@@ -700,7 +725,8 @@ class Iir_Kinds:
Iir_Kind.Case_Statement,
Iir_Kind.Procedure_Call_Statement,
Iir_Kind.Break_Statement,
- Iir_Kind.If_Statement]
+ Iir_Kind.If_Statement,
+ ]
Denoting_And_External_Name = [
Iir_Kind.Character_Literal,
@@ -710,25 +736,29 @@ class Iir_Kinds:
Iir_Kind.Reference_Name,
Iir_Kind.External_Constant_Name,
Iir_Kind.External_Signal_Name,
- Iir_Kind.External_Variable_Name]
+ Iir_Kind.External_Variable_Name,
+ ]
Association_Element_Parameters = [
Iir_Kind.Association_Element_By_Expression,
Iir_Kind.Association_Element_By_Individual,
- Iir_Kind.Association_Element_Open]
+ Iir_Kind.Association_Element_Open,
+ ]
Range_Type_Definition = [
Iir_Kind.Physical_Subtype_Definition,
Iir_Kind.Floating_Subtype_Definition,
Iir_Kind.Integer_Subtype_Definition,
Iir_Kind.Enumeration_Subtype_Definition,
- Iir_Kind.Enumeration_Type_Definition]
+ Iir_Kind.Enumeration_Type_Definition,
+ ]
Discrete_Type_Definition = [
Iir_Kind.Integer_Subtype_Definition,
Iir_Kind.Enumeration_Subtype_Definition,
Iir_Kind.Enumeration_Type_Definition,
- Iir_Kind.Integer_Type_Definition]
+ Iir_Kind.Integer_Type_Definition,
+ ]
Concurrent_Statement = [
Iir_Kind.Sensitized_Process_Statement,
@@ -748,22 +778,23 @@ class Iir_Kinds:
Iir_Kind.Case_Generate_Statement,
Iir_Kind.For_Generate_Statement,
Iir_Kind.Component_Instantiation_Statement,
- Iir_Kind.Psl_Default_Clock]
+ Iir_Kind.Psl_Default_Clock,
+ ]
Signal_Attribute = [
Iir_Kind.Delayed_Attribute,
Iir_Kind.Stable_Attribute,
Iir_Kind.Quiet_Attribute,
- Iir_Kind.Transaction_Attribute]
+ Iir_Kind.Transaction_Attribute,
+ ]
Type_Declaration = [
Iir_Kind.Type_Declaration,
Iir_Kind.Anonymous_Type_Declaration,
- Iir_Kind.Subtype_Declaration]
+ Iir_Kind.Subtype_Declaration,
+ ]
- Next_Exit_Statement = [
- Iir_Kind.Next_Statement,
- Iir_Kind.Exit_Statement]
+ Next_Exit_Statement = [Iir_Kind.Next_Statement, Iir_Kind.Exit_Statement]
Association_Element = [
Iir_Kind.Association_Element_By_Expression,
@@ -772,35 +803,41 @@ class Iir_Kinds:
Iir_Kind.Association_Element_Package,
Iir_Kind.Association_Element_Type,
Iir_Kind.Association_Element_Subprogram,
- Iir_Kind.Association_Element_Terminal]
+ Iir_Kind.Association_Element_Terminal,
+ ]
Interface_Object_Declaration = [
Iir_Kind.Interface_Constant_Declaration,
Iir_Kind.Interface_Variable_Declaration,
Iir_Kind.Interface_Signal_Declaration,
Iir_Kind.Interface_File_Declaration,
- Iir_Kind.Interface_Quantity_Declaration]
+ Iir_Kind.Interface_Quantity_Declaration,
+ ]
Composite_Type_Definition = [
Iir_Kind.Record_Type_Definition,
Iir_Kind.Array_Type_Definition,
Iir_Kind.Array_Subtype_Definition,
- Iir_Kind.Record_Subtype_Definition]
+ Iir_Kind.Record_Subtype_Definition,
+ ]
Interface_Subprogram_Declaration = [
Iir_Kind.Interface_Function_Declaration,
- Iir_Kind.Interface_Procedure_Declaration]
+ Iir_Kind.Interface_Procedure_Declaration,
+ ]
Branch_Quantity_Declaration = [
Iir_Kind.Across_Quantity_Declaration,
- Iir_Kind.Through_Quantity_Declaration]
+ Iir_Kind.Through_Quantity_Declaration,
+ ]
Type_Attribute = [
Iir_Kind.Left_Type_Attribute,
Iir_Kind.Right_Type_Attribute,
Iir_Kind.High_Type_Attribute,
Iir_Kind.Low_Type_Attribute,
- Iir_Kind.Ascending_Type_Attribute]
+ Iir_Kind.Ascending_Type_Attribute,
+ ]
Signal_Value_Attribute = [
Iir_Kind.Event_Attribute,
@@ -809,14 +846,16 @@ class Iir_Kinds:
Iir_Kind.Last_Active_Attribute,
Iir_Kind.Last_Value_Attribute,
Iir_Kind.Driving_Attribute,
- Iir_Kind.Driving_Value_Attribute]
+ Iir_Kind.Driving_Value_Attribute,
+ ]
Quantity_Declaration = [
Iir_Kind.Free_Quantity_Declaration,
Iir_Kind.Spectrum_Quantity_Declaration,
Iir_Kind.Noise_Quantity_Declaration,
Iir_Kind.Across_Quantity_Declaration,
- Iir_Kind.Through_Quantity_Declaration]
+ Iir_Kind.Through_Quantity_Declaration,
+ ]
Nonoverloadable_Declaration = [
Iir_Kind.Type_Declaration,
@@ -832,7 +871,8 @@ class Iir_Kinds:
Iir_Kind.Group_Template_Declaration,
Iir_Kind.Group_Declaration,
Iir_Kind.Element_Declaration,
- Iir_Kind.Nature_Element_Declaration]
+ Iir_Kind.Nature_Element_Declaration,
+ ]
Scalar_Type_And_Subtype_Definition = [
Iir_Kind.Physical_Subtype_Definition,
@@ -842,7 +882,8 @@ class Iir_Kinds:
Iir_Kind.Enumeration_Type_Definition,
Iir_Kind.Integer_Type_Definition,
Iir_Kind.Floating_Type_Definition,
- Iir_Kind.Physical_Type_Definition]
+ Iir_Kind.Physical_Type_Definition,
+ ]
Attribute = [
Iir_Kind.Base_Attribute,
@@ -897,32 +938,35 @@ class Iir_Kinds:
Iir_Kind.Length_Array_Attribute,
Iir_Kind.Ascending_Array_Attribute,
Iir_Kind.Range_Array_Attribute,
- Iir_Kind.Reverse_Range_Array_Attribute]
+ Iir_Kind.Reverse_Range_Array_Attribute,
+ ]
- Physical_Literal = [
- Iir_Kind.Physical_Int_Literal,
- Iir_Kind.Physical_Fp_Literal]
+ Physical_Literal = [Iir_Kind.Physical_Int_Literal, Iir_Kind.Physical_Fp_Literal]
Simultaneous_Statement = [
Iir_Kind.Simple_Simultaneous_Statement,
Iir_Kind.Simultaneous_Null_Statement,
Iir_Kind.Simultaneous_Procedural_Statement,
Iir_Kind.Simultaneous_Case_Statement,
- Iir_Kind.Simultaneous_If_Statement]
+ Iir_Kind.Simultaneous_If_Statement,
+ ]
Concurrent_Signal_Assignment = [
Iir_Kind.Concurrent_Simple_Signal_Assignment,
Iir_Kind.Concurrent_Conditional_Signal_Assignment,
- Iir_Kind.Concurrent_Selected_Signal_Assignment]
+ Iir_Kind.Concurrent_Selected_Signal_Assignment,
+ ]
Range_Attribute = [
Iir_Kind.Range_Array_Attribute,
- Iir_Kind.Reverse_Range_Array_Attribute]
+ Iir_Kind.Reverse_Range_Array_Attribute,
+ ]
Name_Attribute = [
Iir_Kind.Simple_Name_Attribute,
Iir_Kind.Instance_Name_Attribute,
- Iir_Kind.Path_Name_Attribute]
+ Iir_Kind.Path_Name_Attribute,
+ ]
Scalar_Type_Attribute = [
Iir_Kind.Pos_Attribute,
@@ -930,7 +974,8 @@ class Iir_Kinds:
Iir_Kind.Succ_Attribute,
Iir_Kind.Pred_Attribute,
Iir_Kind.Leftof_Attribute,
- Iir_Kind.Rightof_Attribute]
+ Iir_Kind.Rightof_Attribute,
+ ]
Name = [
Iir_Kind.Character_Literal,
@@ -942,7 +987,8 @@ class Iir_Kinds:
Iir_Kind.External_Signal_Name,
Iir_Kind.External_Variable_Name,
Iir_Kind.Selected_By_All_Name,
- Iir_Kind.Parenthesis_Name]
+ Iir_Kind.Parenthesis_Name,
+ ]
Subtype_Definition = [
Iir_Kind.Array_Subtype_Definition,
@@ -951,12 +997,10 @@ class Iir_Kinds:
Iir_Kind.Physical_Subtype_Definition,
Iir_Kind.Floating_Subtype_Definition,
Iir_Kind.Integer_Subtype_Definition,
- Iir_Kind.Enumeration_Subtype_Definition]
-
- Allocator = [
- Iir_Kind.Allocator_By_Expression,
- Iir_Kind.Allocator_By_Subtype]
+ Iir_Kind.Enumeration_Subtype_Definition,
+ ]
+ Allocator = [Iir_Kind.Allocator_By_Expression, Iir_Kind.Allocator_By_Subtype]
class Iir_Mode:
@@ -1620,6 +1664,7 @@ class Iir_Predefined:
Ieee_Std_Logic_Misc_Xnor_Reduce_Slv = 623
Ieee_Std_Logic_Misc_Xnor_Reduce_Suv = 624
+
Get_Kind = libghdl.vhdl__nodes__get_kind
Get_Location = libghdl.vhdl__nodes__get_location
@@ -1795,9 +1840,13 @@ Get_Attribute_Designator = libghdl.vhdl__nodes__get_attribute_designator
Set_Attribute_Designator = libghdl.vhdl__nodes__set_attribute_designator
-Get_Attribute_Specification_Chain = libghdl.vhdl__nodes__get_attribute_specification_chain
+Get_Attribute_Specification_Chain = (
+ libghdl.vhdl__nodes__get_attribute_specification_chain
+)
-Set_Attribute_Specification_Chain = libghdl.vhdl__nodes__set_attribute_specification_chain
+Set_Attribute_Specification_Chain = (
+ libghdl.vhdl__nodes__set_attribute_specification_chain
+)
Get_Attribute_Specification = libghdl.vhdl__nodes__get_attribute_specification
@@ -1967,9 +2016,13 @@ Get_Bound_Vunit_Chain = libghdl.vhdl__nodes__get_bound_vunit_chain
Set_Bound_Vunit_Chain = libghdl.vhdl__nodes__set_bound_vunit_chain
-Get_Verification_Block_Configuration = libghdl.vhdl__nodes__get_verification_block_configuration
+Get_Verification_Block_Configuration = (
+ libghdl.vhdl__nodes__get_verification_block_configuration
+)
-Set_Verification_Block_Configuration = libghdl.vhdl__nodes__set_verification_block_configuration
+Set_Verification_Block_Configuration = (
+ libghdl.vhdl__nodes__set_verification_block_configuration
+)
Get_Block_Configuration = libghdl.vhdl__nodes__get_block_configuration
@@ -2235,9 +2288,13 @@ Get_Resolution_Indication = libghdl.vhdl__nodes__get_resolution_indication
Set_Resolution_Indication = libghdl.vhdl__nodes__set_resolution_indication
-Get_Record_Element_Resolution_Chain = libghdl.vhdl__nodes__get_record_element_resolution_chain
+Get_Record_Element_Resolution_Chain = (
+ libghdl.vhdl__nodes__get_record_element_resolution_chain
+)
-Set_Record_Element_Resolution_Chain = libghdl.vhdl__nodes__set_record_element_resolution_chain
+Set_Record_Element_Resolution_Chain = (
+ libghdl.vhdl__nodes__set_record_element_resolution_chain
+)
Get_Tolerance = libghdl.vhdl__nodes__get_tolerance
@@ -2307,9 +2364,13 @@ Get_Index_Subtype_List = libghdl.vhdl__nodes__get_index_subtype_list
Set_Index_Subtype_List = libghdl.vhdl__nodes__set_index_subtype_list
-Get_Index_Subtype_Definition_List = libghdl.vhdl__nodes__get_index_subtype_definition_list
+Get_Index_Subtype_Definition_List = (
+ libghdl.vhdl__nodes__get_index_subtype_definition_list
+)
-Set_Index_Subtype_Definition_List = libghdl.vhdl__nodes__set_index_subtype_definition_list
+Set_Index_Subtype_Definition_List = (
+ libghdl.vhdl__nodes__set_index_subtype_definition_list
+)
Get_Element_Subtype_Indication = libghdl.vhdl__nodes__get_element_subtype_indication
@@ -2347,9 +2408,13 @@ Get_Designated_Type = libghdl.vhdl__nodes__get_designated_type
Set_Designated_Type = libghdl.vhdl__nodes__set_designated_type
-Get_Designated_Subtype_Indication = libghdl.vhdl__nodes__get_designated_subtype_indication
+Get_Designated_Subtype_Indication = (
+ libghdl.vhdl__nodes__get_designated_subtype_indication
+)
-Set_Designated_Subtype_Indication = libghdl.vhdl__nodes__set_designated_subtype_indication
+Set_Designated_Subtype_Indication = (
+ libghdl.vhdl__nodes__set_designated_subtype_indication
+)
Get_Index_List = libghdl.vhdl__nodes__get_index_list
@@ -2563,9 +2628,13 @@ Get_Default_Binding_Indication = libghdl.vhdl__nodes__get_default_binding_indica
Set_Default_Binding_Indication = libghdl.vhdl__nodes__set_default_binding_indication
-Get_Default_Configuration_Declaration = libghdl.vhdl__nodes__get_default_configuration_declaration
+Get_Default_Configuration_Declaration = (
+ libghdl.vhdl__nodes__get_default_configuration_declaration
+)
-Set_Default_Configuration_Declaration = libghdl.vhdl__nodes__set_default_configuration_declaration
+Set_Default_Configuration_Declaration = (
+ libghdl.vhdl__nodes__set_default_configuration_declaration
+)
Get_Expression = libghdl.vhdl__nodes__get_expression
@@ -2863,9 +2932,13 @@ Get_Association_Choices_Chain = libghdl.vhdl__nodes__get_association_choices_cha
Set_Association_Choices_Chain = libghdl.vhdl__nodes__set_association_choices_chain
-Get_Case_Statement_Alternative_Chain = libghdl.vhdl__nodes__get_case_statement_alternative_chain
+Get_Case_Statement_Alternative_Chain = (
+ libghdl.vhdl__nodes__get_case_statement_alternative_chain
+)
-Set_Case_Statement_Alternative_Chain = libghdl.vhdl__nodes__set_case_statement_alternative_chain
+Set_Case_Statement_Alternative_Chain = (
+ libghdl.vhdl__nodes__set_case_statement_alternative_chain
+)
Get_Choice_Staticness = libghdl.vhdl__nodes__get_choice_staticness
diff --git a/python/libghdl/thin/vhdl/nodes_meta.py b/python/libghdl/thin/vhdl/nodes_meta.py
index 68b9e51a0..882dddb1e 100644
--- a/python/libghdl/thin/vhdl/nodes_meta.py
+++ b/python/libghdl/thin/vhdl/nodes_meta.py
@@ -1,7 +1,6 @@
from libghdl import libghdl
-
# From nodes_meta
get_fields_first = libghdl.vhdl__nodes_meta__get_fields_first
@@ -498,1100 +497,790 @@ Get_Token_Type = libghdl.vhdl__nodes_meta__get_token_type
Get_Tri_State_Type = libghdl.vhdl__nodes_meta__get_tri_state_type
-Has_First_Design_Unit =\
- libghdl.vhdl__nodes_meta__has_first_design_unit
+Has_First_Design_Unit = libghdl.vhdl__nodes_meta__has_first_design_unit
-Has_Last_Design_Unit =\
- libghdl.vhdl__nodes_meta__has_last_design_unit
+Has_Last_Design_Unit = libghdl.vhdl__nodes_meta__has_last_design_unit
-Has_Library_Declaration =\
- libghdl.vhdl__nodes_meta__has_library_declaration
+Has_Library_Declaration = libghdl.vhdl__nodes_meta__has_library_declaration
-Has_File_Checksum =\
- libghdl.vhdl__nodes_meta__has_file_checksum
+Has_File_Checksum = libghdl.vhdl__nodes_meta__has_file_checksum
-Has_Analysis_Time_Stamp =\
- libghdl.vhdl__nodes_meta__has_analysis_time_stamp
+Has_Analysis_Time_Stamp = libghdl.vhdl__nodes_meta__has_analysis_time_stamp
-Has_Design_File_Source =\
- libghdl.vhdl__nodes_meta__has_design_file_source
+Has_Design_File_Source = libghdl.vhdl__nodes_meta__has_design_file_source
-Has_Library =\
- libghdl.vhdl__nodes_meta__has_library
+Has_Library = libghdl.vhdl__nodes_meta__has_library
-Has_File_Dependence_List =\
- libghdl.vhdl__nodes_meta__has_file_dependence_list
+Has_File_Dependence_List = libghdl.vhdl__nodes_meta__has_file_dependence_list
-Has_Design_File_Filename =\
- libghdl.vhdl__nodes_meta__has_design_file_filename
+Has_Design_File_Filename = libghdl.vhdl__nodes_meta__has_design_file_filename
-Has_Design_File_Directory =\
- libghdl.vhdl__nodes_meta__has_design_file_directory
+Has_Design_File_Directory = libghdl.vhdl__nodes_meta__has_design_file_directory
-Has_Design_File =\
- libghdl.vhdl__nodes_meta__has_design_file
+Has_Design_File = libghdl.vhdl__nodes_meta__has_design_file
-Has_Design_File_Chain =\
- libghdl.vhdl__nodes_meta__has_design_file_chain
+Has_Design_File_Chain = libghdl.vhdl__nodes_meta__has_design_file_chain
-Has_Library_Directory =\
- libghdl.vhdl__nodes_meta__has_library_directory
+Has_Library_Directory = libghdl.vhdl__nodes_meta__has_library_directory
-Has_Date =\
- libghdl.vhdl__nodes_meta__has_date
+Has_Date = libghdl.vhdl__nodes_meta__has_date
-Has_Context_Items =\
- libghdl.vhdl__nodes_meta__has_context_items
+Has_Context_Items = libghdl.vhdl__nodes_meta__has_context_items
-Has_Dependence_List =\
- libghdl.vhdl__nodes_meta__has_dependence_list
+Has_Dependence_List = libghdl.vhdl__nodes_meta__has_dependence_list
-Has_Analysis_Checks_List =\
- libghdl.vhdl__nodes_meta__has_analysis_checks_list
+Has_Analysis_Checks_List = libghdl.vhdl__nodes_meta__has_analysis_checks_list
-Has_Date_State =\
- libghdl.vhdl__nodes_meta__has_date_state
+Has_Date_State = libghdl.vhdl__nodes_meta__has_date_state
-Has_Guarded_Target_State =\
- libghdl.vhdl__nodes_meta__has_guarded_target_state
+Has_Guarded_Target_State = libghdl.vhdl__nodes_meta__has_guarded_target_state
-Has_Library_Unit =\
- libghdl.vhdl__nodes_meta__has_library_unit
+Has_Library_Unit = libghdl.vhdl__nodes_meta__has_library_unit
-Has_Hash_Chain =\
- libghdl.vhdl__nodes_meta__has_hash_chain
+Has_Hash_Chain = libghdl.vhdl__nodes_meta__has_hash_chain
-Has_Design_Unit_Source_Pos =\
- libghdl.vhdl__nodes_meta__has_design_unit_source_pos
+Has_Design_Unit_Source_Pos = libghdl.vhdl__nodes_meta__has_design_unit_source_pos
-Has_Design_Unit_Source_Line =\
- libghdl.vhdl__nodes_meta__has_design_unit_source_line
+Has_Design_Unit_Source_Line = libghdl.vhdl__nodes_meta__has_design_unit_source_line
-Has_Design_Unit_Source_Col =\
- libghdl.vhdl__nodes_meta__has_design_unit_source_col
+Has_Design_Unit_Source_Col = libghdl.vhdl__nodes_meta__has_design_unit_source_col
-Has_Value =\
- libghdl.vhdl__nodes_meta__has_value
+Has_Value = libghdl.vhdl__nodes_meta__has_value
-Has_Enum_Pos =\
- libghdl.vhdl__nodes_meta__has_enum_pos
+Has_Enum_Pos = libghdl.vhdl__nodes_meta__has_enum_pos
-Has_Physical_Literal =\
- libghdl.vhdl__nodes_meta__has_physical_literal
+Has_Physical_Literal = libghdl.vhdl__nodes_meta__has_physical_literal
-Has_Fp_Value =\
- libghdl.vhdl__nodes_meta__has_fp_value
+Has_Fp_Value = libghdl.vhdl__nodes_meta__has_fp_value
-Has_Simple_Aggregate_List =\
- libghdl.vhdl__nodes_meta__has_simple_aggregate_list
+Has_Simple_Aggregate_List = libghdl.vhdl__nodes_meta__has_simple_aggregate_list
-Has_String8_Id =\
- libghdl.vhdl__nodes_meta__has_string8_id
+Has_String8_Id = libghdl.vhdl__nodes_meta__has_string8_id
-Has_String_Length =\
- libghdl.vhdl__nodes_meta__has_string_length
+Has_String_Length = libghdl.vhdl__nodes_meta__has_string_length
-Has_Bit_String_Base =\
- libghdl.vhdl__nodes_meta__has_bit_string_base
+Has_Bit_String_Base = libghdl.vhdl__nodes_meta__has_bit_string_base
-Has_Has_Signed =\
- libghdl.vhdl__nodes_meta__has_has_signed
+Has_Has_Signed = libghdl.vhdl__nodes_meta__has_has_signed
-Has_Has_Sign =\
- libghdl.vhdl__nodes_meta__has_has_sign
+Has_Has_Sign = libghdl.vhdl__nodes_meta__has_has_sign
-Has_Has_Length =\
- libghdl.vhdl__nodes_meta__has_has_length
+Has_Has_Length = libghdl.vhdl__nodes_meta__has_has_length
-Has_Literal_Length =\
- libghdl.vhdl__nodes_meta__has_literal_length
+Has_Literal_Length = libghdl.vhdl__nodes_meta__has_literal_length
-Has_Literal_Origin =\
- libghdl.vhdl__nodes_meta__has_literal_origin
+Has_Literal_Origin = libghdl.vhdl__nodes_meta__has_literal_origin
-Has_Range_Origin =\
- libghdl.vhdl__nodes_meta__has_range_origin
+Has_Range_Origin = libghdl.vhdl__nodes_meta__has_range_origin
-Has_Literal_Subtype =\
- libghdl.vhdl__nodes_meta__has_literal_subtype
+Has_Literal_Subtype = libghdl.vhdl__nodes_meta__has_literal_subtype
-Has_Allocator_Subtype =\
- libghdl.vhdl__nodes_meta__has_allocator_subtype
+Has_Allocator_Subtype = libghdl.vhdl__nodes_meta__has_allocator_subtype
-Has_Entity_Class =\
- libghdl.vhdl__nodes_meta__has_entity_class
+Has_Entity_Class = libghdl.vhdl__nodes_meta__has_entity_class
-Has_Entity_Name_List =\
- libghdl.vhdl__nodes_meta__has_entity_name_list
+Has_Entity_Name_List = libghdl.vhdl__nodes_meta__has_entity_name_list
-Has_Attribute_Designator =\
- libghdl.vhdl__nodes_meta__has_attribute_designator
+Has_Attribute_Designator = libghdl.vhdl__nodes_meta__has_attribute_designator
-Has_Attribute_Specification_Chain =\
+Has_Attribute_Specification_Chain = (
libghdl.vhdl__nodes_meta__has_attribute_specification_chain
+)
-Has_Attribute_Specification =\
- libghdl.vhdl__nodes_meta__has_attribute_specification
+Has_Attribute_Specification = libghdl.vhdl__nodes_meta__has_attribute_specification
-Has_Signal_List =\
- libghdl.vhdl__nodes_meta__has_signal_list
+Has_Signal_List = libghdl.vhdl__nodes_meta__has_signal_list
-Has_Quantity_List =\
- libghdl.vhdl__nodes_meta__has_quantity_list
+Has_Quantity_List = libghdl.vhdl__nodes_meta__has_quantity_list
-Has_Designated_Entity =\
- libghdl.vhdl__nodes_meta__has_designated_entity
+Has_Designated_Entity = libghdl.vhdl__nodes_meta__has_designated_entity
-Has_Formal =\
- libghdl.vhdl__nodes_meta__has_formal
+Has_Formal = libghdl.vhdl__nodes_meta__has_formal
-Has_Actual =\
- libghdl.vhdl__nodes_meta__has_actual
+Has_Actual = libghdl.vhdl__nodes_meta__has_actual
-Has_Actual_Conversion =\
- libghdl.vhdl__nodes_meta__has_actual_conversion
+Has_Actual_Conversion = libghdl.vhdl__nodes_meta__has_actual_conversion
-Has_Formal_Conversion =\
- libghdl.vhdl__nodes_meta__has_formal_conversion
+Has_Formal_Conversion = libghdl.vhdl__nodes_meta__has_formal_conversion
-Has_Whole_Association_Flag =\
- libghdl.vhdl__nodes_meta__has_whole_association_flag
+Has_Whole_Association_Flag = libghdl.vhdl__nodes_meta__has_whole_association_flag
-Has_Collapse_Signal_Flag =\
- libghdl.vhdl__nodes_meta__has_collapse_signal_flag
+Has_Collapse_Signal_Flag = libghdl.vhdl__nodes_meta__has_collapse_signal_flag
-Has_Artificial_Flag =\
- libghdl.vhdl__nodes_meta__has_artificial_flag
+Has_Artificial_Flag = libghdl.vhdl__nodes_meta__has_artificial_flag
-Has_Open_Flag =\
- libghdl.vhdl__nodes_meta__has_open_flag
+Has_Open_Flag = libghdl.vhdl__nodes_meta__has_open_flag
-Has_After_Drivers_Flag =\
- libghdl.vhdl__nodes_meta__has_after_drivers_flag
+Has_After_Drivers_Flag = libghdl.vhdl__nodes_meta__has_after_drivers_flag
-Has_We_Value =\
- libghdl.vhdl__nodes_meta__has_we_value
+Has_We_Value = libghdl.vhdl__nodes_meta__has_we_value
-Has_Time =\
- libghdl.vhdl__nodes_meta__has_time
+Has_Time = libghdl.vhdl__nodes_meta__has_time
-Has_Associated_Expr =\
- libghdl.vhdl__nodes_meta__has_associated_expr
+Has_Associated_Expr = libghdl.vhdl__nodes_meta__has_associated_expr
-Has_Associated_Block =\
- libghdl.vhdl__nodes_meta__has_associated_block
+Has_Associated_Block = libghdl.vhdl__nodes_meta__has_associated_block
-Has_Associated_Chain =\
- libghdl.vhdl__nodes_meta__has_associated_chain
+Has_Associated_Chain = libghdl.vhdl__nodes_meta__has_associated_chain
-Has_Choice_Name =\
- libghdl.vhdl__nodes_meta__has_choice_name
+Has_Choice_Name = libghdl.vhdl__nodes_meta__has_choice_name
-Has_Choice_Expression =\
- libghdl.vhdl__nodes_meta__has_choice_expression
+Has_Choice_Expression = libghdl.vhdl__nodes_meta__has_choice_expression
-Has_Choice_Range =\
- libghdl.vhdl__nodes_meta__has_choice_range
+Has_Choice_Range = libghdl.vhdl__nodes_meta__has_choice_range
-Has_Same_Alternative_Flag =\
- libghdl.vhdl__nodes_meta__has_same_alternative_flag
+Has_Same_Alternative_Flag = libghdl.vhdl__nodes_meta__has_same_alternative_flag
-Has_Element_Type_Flag =\
- libghdl.vhdl__nodes_meta__has_element_type_flag
+Has_Element_Type_Flag = libghdl.vhdl__nodes_meta__has_element_type_flag
-Has_Architecture =\
- libghdl.vhdl__nodes_meta__has_architecture
+Has_Architecture = libghdl.vhdl__nodes_meta__has_architecture
-Has_Block_Specification =\
- libghdl.vhdl__nodes_meta__has_block_specification
+Has_Block_Specification = libghdl.vhdl__nodes_meta__has_block_specification
-Has_Prev_Block_Configuration =\
- libghdl.vhdl__nodes_meta__has_prev_block_configuration
+Has_Prev_Block_Configuration = libghdl.vhdl__nodes_meta__has_prev_block_configuration
-Has_Configuration_Item_Chain =\
- libghdl.vhdl__nodes_meta__has_configuration_item_chain
+Has_Configuration_Item_Chain = libghdl.vhdl__nodes_meta__has_configuration_item_chain
-Has_Attribute_Value_Chain =\
- libghdl.vhdl__nodes_meta__has_attribute_value_chain
+Has_Attribute_Value_Chain = libghdl.vhdl__nodes_meta__has_attribute_value_chain
-Has_Spec_Chain =\
- libghdl.vhdl__nodes_meta__has_spec_chain
+Has_Spec_Chain = libghdl.vhdl__nodes_meta__has_spec_chain
-Has_Value_Chain =\
- libghdl.vhdl__nodes_meta__has_value_chain
+Has_Value_Chain = libghdl.vhdl__nodes_meta__has_value_chain
-Has_Attribute_Value_Spec_Chain =\
+Has_Attribute_Value_Spec_Chain = (
libghdl.vhdl__nodes_meta__has_attribute_value_spec_chain
+)
-Has_Entity_Name =\
- libghdl.vhdl__nodes_meta__has_entity_name
+Has_Entity_Name = libghdl.vhdl__nodes_meta__has_entity_name
-Has_Package =\
- libghdl.vhdl__nodes_meta__has_package
+Has_Package = libghdl.vhdl__nodes_meta__has_package
-Has_Package_Body =\
- libghdl.vhdl__nodes_meta__has_package_body
+Has_Package_Body = libghdl.vhdl__nodes_meta__has_package_body
-Has_Instance_Package_Body =\
- libghdl.vhdl__nodes_meta__has_instance_package_body
+Has_Instance_Package_Body = libghdl.vhdl__nodes_meta__has_instance_package_body
-Has_Need_Body =\
- libghdl.vhdl__nodes_meta__has_need_body
+Has_Need_Body = libghdl.vhdl__nodes_meta__has_need_body
-Has_Macro_Expanded_Flag =\
- libghdl.vhdl__nodes_meta__has_macro_expanded_flag
+Has_Macro_Expanded_Flag = libghdl.vhdl__nodes_meta__has_macro_expanded_flag
-Has_Need_Instance_Bodies =\
- libghdl.vhdl__nodes_meta__has_need_instance_bodies
+Has_Need_Instance_Bodies = libghdl.vhdl__nodes_meta__has_need_instance_bodies
-Has_Hierarchical_Name =\
- libghdl.vhdl__nodes_meta__has_hierarchical_name
+Has_Hierarchical_Name = libghdl.vhdl__nodes_meta__has_hierarchical_name
-Has_Inherit_Spec_Chain =\
- libghdl.vhdl__nodes_meta__has_inherit_spec_chain
+Has_Inherit_Spec_Chain = libghdl.vhdl__nodes_meta__has_inherit_spec_chain
-Has_Vunit_Item_Chain =\
- libghdl.vhdl__nodes_meta__has_vunit_item_chain
+Has_Vunit_Item_Chain = libghdl.vhdl__nodes_meta__has_vunit_item_chain
-Has_Bound_Vunit_Chain =\
- libghdl.vhdl__nodes_meta__has_bound_vunit_chain
+Has_Bound_Vunit_Chain = libghdl.vhdl__nodes_meta__has_bound_vunit_chain
-Has_Verification_Block_Configuration =\
+Has_Verification_Block_Configuration = (
libghdl.vhdl__nodes_meta__has_verification_block_configuration
+)
-Has_Block_Configuration =\
- libghdl.vhdl__nodes_meta__has_block_configuration
+Has_Block_Configuration = libghdl.vhdl__nodes_meta__has_block_configuration
-Has_Concurrent_Statement_Chain =\
+Has_Concurrent_Statement_Chain = (
libghdl.vhdl__nodes_meta__has_concurrent_statement_chain
+)
-Has_Chain =\
- libghdl.vhdl__nodes_meta__has_chain
+Has_Chain = libghdl.vhdl__nodes_meta__has_chain
-Has_Port_Chain =\
- libghdl.vhdl__nodes_meta__has_port_chain
+Has_Port_Chain = libghdl.vhdl__nodes_meta__has_port_chain
-Has_Generic_Chain =\
- libghdl.vhdl__nodes_meta__has_generic_chain
+Has_Generic_Chain = libghdl.vhdl__nodes_meta__has_generic_chain
-Has_Type =\
- libghdl.vhdl__nodes_meta__has_type
+Has_Type = libghdl.vhdl__nodes_meta__has_type
-Has_Subtype_Indication =\
- libghdl.vhdl__nodes_meta__has_subtype_indication
+Has_Subtype_Indication = libghdl.vhdl__nodes_meta__has_subtype_indication
-Has_Discrete_Range =\
- libghdl.vhdl__nodes_meta__has_discrete_range
+Has_Discrete_Range = libghdl.vhdl__nodes_meta__has_discrete_range
-Has_Type_Definition =\
- libghdl.vhdl__nodes_meta__has_type_definition
+Has_Type_Definition = libghdl.vhdl__nodes_meta__has_type_definition
-Has_Subtype_Definition =\
- libghdl.vhdl__nodes_meta__has_subtype_definition
+Has_Subtype_Definition = libghdl.vhdl__nodes_meta__has_subtype_definition
-Has_Incomplete_Type_Declaration =\
+Has_Incomplete_Type_Declaration = (
libghdl.vhdl__nodes_meta__has_incomplete_type_declaration
+)
-Has_Interface_Type_Subprograms =\
+Has_Interface_Type_Subprograms = (
libghdl.vhdl__nodes_meta__has_interface_type_subprograms
+)
-Has_Nature_Definition =\
- libghdl.vhdl__nodes_meta__has_nature_definition
+Has_Nature_Definition = libghdl.vhdl__nodes_meta__has_nature_definition
-Has_Nature =\
- libghdl.vhdl__nodes_meta__has_nature
+Has_Nature = libghdl.vhdl__nodes_meta__has_nature
-Has_Subnature_Indication =\
- libghdl.vhdl__nodes_meta__has_subnature_indication
+Has_Subnature_Indication = libghdl.vhdl__nodes_meta__has_subnature_indication
-Has_Mode =\
- libghdl.vhdl__nodes_meta__has_mode
+Has_Mode = libghdl.vhdl__nodes_meta__has_mode
-Has_Guarded_Signal_Flag =\
- libghdl.vhdl__nodes_meta__has_guarded_signal_flag
+Has_Guarded_Signal_Flag = libghdl.vhdl__nodes_meta__has_guarded_signal_flag
-Has_Signal_Kind =\
- libghdl.vhdl__nodes_meta__has_signal_kind
+Has_Signal_Kind = libghdl.vhdl__nodes_meta__has_signal_kind
-Has_Base_Name =\
- libghdl.vhdl__nodes_meta__has_base_name
+Has_Base_Name = libghdl.vhdl__nodes_meta__has_base_name
-Has_Interface_Declaration_Chain =\
+Has_Interface_Declaration_Chain = (
libghdl.vhdl__nodes_meta__has_interface_declaration_chain
+)
-Has_Subprogram_Specification =\
- libghdl.vhdl__nodes_meta__has_subprogram_specification
+Has_Subprogram_Specification = libghdl.vhdl__nodes_meta__has_subprogram_specification
-Has_Sequential_Statement_Chain =\
+Has_Sequential_Statement_Chain = (
libghdl.vhdl__nodes_meta__has_sequential_statement_chain
+)
-Has_Simultaneous_Statement_Chain =\
+Has_Simultaneous_Statement_Chain = (
libghdl.vhdl__nodes_meta__has_simultaneous_statement_chain
+)
-Has_Subprogram_Body =\
- libghdl.vhdl__nodes_meta__has_subprogram_body
+Has_Subprogram_Body = libghdl.vhdl__nodes_meta__has_subprogram_body
-Has_Overload_Number =\
- libghdl.vhdl__nodes_meta__has_overload_number
+Has_Overload_Number = libghdl.vhdl__nodes_meta__has_overload_number
-Has_Subprogram_Depth =\
- libghdl.vhdl__nodes_meta__has_subprogram_depth
+Has_Subprogram_Depth = libghdl.vhdl__nodes_meta__has_subprogram_depth
-Has_Subprogram_Hash =\
- libghdl.vhdl__nodes_meta__has_subprogram_hash
+Has_Subprogram_Hash = libghdl.vhdl__nodes_meta__has_subprogram_hash
-Has_Impure_Depth =\
- libghdl.vhdl__nodes_meta__has_impure_depth
+Has_Impure_Depth = libghdl.vhdl__nodes_meta__has_impure_depth
-Has_Return_Type =\
- libghdl.vhdl__nodes_meta__has_return_type
+Has_Return_Type = libghdl.vhdl__nodes_meta__has_return_type
-Has_Implicit_Definition =\
- libghdl.vhdl__nodes_meta__has_implicit_definition
+Has_Implicit_Definition = libghdl.vhdl__nodes_meta__has_implicit_definition
-Has_Default_Value =\
- libghdl.vhdl__nodes_meta__has_default_value
+Has_Default_Value = libghdl.vhdl__nodes_meta__has_default_value
-Has_Deferred_Declaration =\
- libghdl.vhdl__nodes_meta__has_deferred_declaration
+Has_Deferred_Declaration = libghdl.vhdl__nodes_meta__has_deferred_declaration
-Has_Deferred_Declaration_Flag =\
- libghdl.vhdl__nodes_meta__has_deferred_declaration_flag
+Has_Deferred_Declaration_Flag = libghdl.vhdl__nodes_meta__has_deferred_declaration_flag
-Has_Shared_Flag =\
- libghdl.vhdl__nodes_meta__has_shared_flag
+Has_Shared_Flag = libghdl.vhdl__nodes_meta__has_shared_flag
-Has_Design_Unit =\
- libghdl.vhdl__nodes_meta__has_design_unit
+Has_Design_Unit = libghdl.vhdl__nodes_meta__has_design_unit
-Has_Block_Statement =\
- libghdl.vhdl__nodes_meta__has_block_statement
+Has_Block_Statement = libghdl.vhdl__nodes_meta__has_block_statement
-Has_Signal_Driver =\
- libghdl.vhdl__nodes_meta__has_signal_driver
+Has_Signal_Driver = libghdl.vhdl__nodes_meta__has_signal_driver
-Has_Declaration_Chain =\
- libghdl.vhdl__nodes_meta__has_declaration_chain
+Has_Declaration_Chain = libghdl.vhdl__nodes_meta__has_declaration_chain
-Has_File_Logical_Name =\
- libghdl.vhdl__nodes_meta__has_file_logical_name
+Has_File_Logical_Name = libghdl.vhdl__nodes_meta__has_file_logical_name
-Has_File_Open_Kind =\
- libghdl.vhdl__nodes_meta__has_file_open_kind
+Has_File_Open_Kind = libghdl.vhdl__nodes_meta__has_file_open_kind
-Has_Element_Position =\
- libghdl.vhdl__nodes_meta__has_element_position
+Has_Element_Position = libghdl.vhdl__nodes_meta__has_element_position
-Has_Use_Clause_Chain =\
- libghdl.vhdl__nodes_meta__has_use_clause_chain
+Has_Use_Clause_Chain = libghdl.vhdl__nodes_meta__has_use_clause_chain
-Has_Context_Reference_Chain =\
- libghdl.vhdl__nodes_meta__has_context_reference_chain
+Has_Context_Reference_Chain = libghdl.vhdl__nodes_meta__has_context_reference_chain
-Has_Selected_Name =\
- libghdl.vhdl__nodes_meta__has_selected_name
+Has_Selected_Name = libghdl.vhdl__nodes_meta__has_selected_name
-Has_Type_Declarator =\
- libghdl.vhdl__nodes_meta__has_type_declarator
+Has_Type_Declarator = libghdl.vhdl__nodes_meta__has_type_declarator
-Has_Complete_Type_Definition =\
- libghdl.vhdl__nodes_meta__has_complete_type_definition
+Has_Complete_Type_Definition = libghdl.vhdl__nodes_meta__has_complete_type_definition
-Has_Incomplete_Type_Ref_Chain =\
- libghdl.vhdl__nodes_meta__has_incomplete_type_ref_chain
+Has_Incomplete_Type_Ref_Chain = libghdl.vhdl__nodes_meta__has_incomplete_type_ref_chain
-Has_Associated_Type =\
- libghdl.vhdl__nodes_meta__has_associated_type
+Has_Associated_Type = libghdl.vhdl__nodes_meta__has_associated_type
-Has_Enumeration_Literal_List =\
- libghdl.vhdl__nodes_meta__has_enumeration_literal_list
+Has_Enumeration_Literal_List = libghdl.vhdl__nodes_meta__has_enumeration_literal_list
-Has_Entity_Class_Entry_Chain =\
- libghdl.vhdl__nodes_meta__has_entity_class_entry_chain
+Has_Entity_Class_Entry_Chain = libghdl.vhdl__nodes_meta__has_entity_class_entry_chain
-Has_Group_Constituent_List =\
- libghdl.vhdl__nodes_meta__has_group_constituent_list
+Has_Group_Constituent_List = libghdl.vhdl__nodes_meta__has_group_constituent_list
-Has_Unit_Chain =\
- libghdl.vhdl__nodes_meta__has_unit_chain
+Has_Unit_Chain = libghdl.vhdl__nodes_meta__has_unit_chain
-Has_Primary_Unit =\
- libghdl.vhdl__nodes_meta__has_primary_unit
+Has_Primary_Unit = libghdl.vhdl__nodes_meta__has_primary_unit
-Has_Identifier =\
- libghdl.vhdl__nodes_meta__has_identifier
+Has_Identifier = libghdl.vhdl__nodes_meta__has_identifier
-Has_Label =\
- libghdl.vhdl__nodes_meta__has_label
+Has_Label = libghdl.vhdl__nodes_meta__has_label
-Has_Visible_Flag =\
- libghdl.vhdl__nodes_meta__has_visible_flag
+Has_Visible_Flag = libghdl.vhdl__nodes_meta__has_visible_flag
-Has_Range_Constraint =\
- libghdl.vhdl__nodes_meta__has_range_constraint
+Has_Range_Constraint = libghdl.vhdl__nodes_meta__has_range_constraint
-Has_Direction =\
- libghdl.vhdl__nodes_meta__has_direction
+Has_Direction = libghdl.vhdl__nodes_meta__has_direction
-Has_Left_Limit =\
- libghdl.vhdl__nodes_meta__has_left_limit
+Has_Left_Limit = libghdl.vhdl__nodes_meta__has_left_limit
-Has_Right_Limit =\
- libghdl.vhdl__nodes_meta__has_right_limit
+Has_Right_Limit = libghdl.vhdl__nodes_meta__has_right_limit
-Has_Left_Limit_Expr =\
- libghdl.vhdl__nodes_meta__has_left_limit_expr
+Has_Left_Limit_Expr = libghdl.vhdl__nodes_meta__has_left_limit_expr
-Has_Right_Limit_Expr =\
- libghdl.vhdl__nodes_meta__has_right_limit_expr
+Has_Right_Limit_Expr = libghdl.vhdl__nodes_meta__has_right_limit_expr
-Has_Parent_Type =\
- libghdl.vhdl__nodes_meta__has_parent_type
+Has_Parent_Type = libghdl.vhdl__nodes_meta__has_parent_type
-Has_Simple_Nature =\
- libghdl.vhdl__nodes_meta__has_simple_nature
+Has_Simple_Nature = libghdl.vhdl__nodes_meta__has_simple_nature
-Has_Base_Nature =\
- libghdl.vhdl__nodes_meta__has_base_nature
+Has_Base_Nature = libghdl.vhdl__nodes_meta__has_base_nature
-Has_Resolution_Indication =\
- libghdl.vhdl__nodes_meta__has_resolution_indication
+Has_Resolution_Indication = libghdl.vhdl__nodes_meta__has_resolution_indication
-Has_Record_Element_Resolution_Chain =\
+Has_Record_Element_Resolution_Chain = (
libghdl.vhdl__nodes_meta__has_record_element_resolution_chain
+)
-Has_Tolerance =\
- libghdl.vhdl__nodes_meta__has_tolerance
+Has_Tolerance = libghdl.vhdl__nodes_meta__has_tolerance
-Has_Plus_Terminal_Name =\
- libghdl.vhdl__nodes_meta__has_plus_terminal_name
+Has_Plus_Terminal_Name = libghdl.vhdl__nodes_meta__has_plus_terminal_name
-Has_Minus_Terminal_Name =\
- libghdl.vhdl__nodes_meta__has_minus_terminal_name
+Has_Minus_Terminal_Name = libghdl.vhdl__nodes_meta__has_minus_terminal_name
-Has_Plus_Terminal =\
- libghdl.vhdl__nodes_meta__has_plus_terminal
+Has_Plus_Terminal = libghdl.vhdl__nodes_meta__has_plus_terminal
-Has_Minus_Terminal =\
- libghdl.vhdl__nodes_meta__has_minus_terminal
+Has_Minus_Terminal = libghdl.vhdl__nodes_meta__has_minus_terminal
-Has_Magnitude_Expression =\
- libghdl.vhdl__nodes_meta__has_magnitude_expression
+Has_Magnitude_Expression = libghdl.vhdl__nodes_meta__has_magnitude_expression
-Has_Phase_Expression =\
- libghdl.vhdl__nodes_meta__has_phase_expression
+Has_Phase_Expression = libghdl.vhdl__nodes_meta__has_phase_expression
-Has_Power_Expression =\
- libghdl.vhdl__nodes_meta__has_power_expression
+Has_Power_Expression = libghdl.vhdl__nodes_meta__has_power_expression
-Has_Simultaneous_Left =\
- libghdl.vhdl__nodes_meta__has_simultaneous_left
+Has_Simultaneous_Left = libghdl.vhdl__nodes_meta__has_simultaneous_left
-Has_Simultaneous_Right =\
- libghdl.vhdl__nodes_meta__has_simultaneous_right
+Has_Simultaneous_Right = libghdl.vhdl__nodes_meta__has_simultaneous_right
-Has_Text_File_Flag =\
- libghdl.vhdl__nodes_meta__has_text_file_flag
+Has_Text_File_Flag = libghdl.vhdl__nodes_meta__has_text_file_flag
-Has_Only_Characters_Flag =\
- libghdl.vhdl__nodes_meta__has_only_characters_flag
+Has_Only_Characters_Flag = libghdl.vhdl__nodes_meta__has_only_characters_flag
-Has_Is_Character_Type =\
- libghdl.vhdl__nodes_meta__has_is_character_type
+Has_Is_Character_Type = libghdl.vhdl__nodes_meta__has_is_character_type
-Has_Nature_Staticness =\
- libghdl.vhdl__nodes_meta__has_nature_staticness
+Has_Nature_Staticness = libghdl.vhdl__nodes_meta__has_nature_staticness
-Has_Type_Staticness =\
- libghdl.vhdl__nodes_meta__has_type_staticness
+Has_Type_Staticness = libghdl.vhdl__nodes_meta__has_type_staticness
-Has_Constraint_State =\
- libghdl.vhdl__nodes_meta__has_constraint_state
+Has_Constraint_State = libghdl.vhdl__nodes_meta__has_constraint_state
-Has_Index_Subtype_List =\
- libghdl.vhdl__nodes_meta__has_index_subtype_list
+Has_Index_Subtype_List = libghdl.vhdl__nodes_meta__has_index_subtype_list
-Has_Index_Subtype_Definition_List =\
+Has_Index_Subtype_Definition_List = (
libghdl.vhdl__nodes_meta__has_index_subtype_definition_list
+)
-Has_Element_Subtype_Indication =\
+Has_Element_Subtype_Indication = (
libghdl.vhdl__nodes_meta__has_element_subtype_indication
+)
-Has_Element_Subtype =\
- libghdl.vhdl__nodes_meta__has_element_subtype
+Has_Element_Subtype = libghdl.vhdl__nodes_meta__has_element_subtype
-Has_Element_Subnature_Indication =\
+Has_Element_Subnature_Indication = (
libghdl.vhdl__nodes_meta__has_element_subnature_indication
+)
-Has_Element_Subnature =\
- libghdl.vhdl__nodes_meta__has_element_subnature
+Has_Element_Subnature = libghdl.vhdl__nodes_meta__has_element_subnature
-Has_Index_Constraint_List =\
- libghdl.vhdl__nodes_meta__has_index_constraint_list
+Has_Index_Constraint_List = libghdl.vhdl__nodes_meta__has_index_constraint_list
-Has_Array_Element_Constraint =\
- libghdl.vhdl__nodes_meta__has_array_element_constraint
+Has_Array_Element_Constraint = libghdl.vhdl__nodes_meta__has_array_element_constraint
-Has_Elements_Declaration_List =\
- libghdl.vhdl__nodes_meta__has_elements_declaration_list
+Has_Elements_Declaration_List = libghdl.vhdl__nodes_meta__has_elements_declaration_list
-Has_Owned_Elements_Chain =\
- libghdl.vhdl__nodes_meta__has_owned_elements_chain
+Has_Owned_Elements_Chain = libghdl.vhdl__nodes_meta__has_owned_elements_chain
-Has_Designated_Type =\
- libghdl.vhdl__nodes_meta__has_designated_type
+Has_Designated_Type = libghdl.vhdl__nodes_meta__has_designated_type
-Has_Designated_Subtype_Indication =\
+Has_Designated_Subtype_Indication = (
libghdl.vhdl__nodes_meta__has_designated_subtype_indication
+)
-Has_Index_List =\
- libghdl.vhdl__nodes_meta__has_index_list
+Has_Index_List = libghdl.vhdl__nodes_meta__has_index_list
-Has_Reference =\
- libghdl.vhdl__nodes_meta__has_reference
+Has_Reference = libghdl.vhdl__nodes_meta__has_reference
-Has_Nature_Declarator =\
- libghdl.vhdl__nodes_meta__has_nature_declarator
+Has_Nature_Declarator = libghdl.vhdl__nodes_meta__has_nature_declarator
-Has_Across_Type_Mark =\
- libghdl.vhdl__nodes_meta__has_across_type_mark
+Has_Across_Type_Mark = libghdl.vhdl__nodes_meta__has_across_type_mark
-Has_Through_Type_Mark =\
- libghdl.vhdl__nodes_meta__has_through_type_mark
+Has_Through_Type_Mark = libghdl.vhdl__nodes_meta__has_through_type_mark
-Has_Across_Type_Definition =\
- libghdl.vhdl__nodes_meta__has_across_type_definition
+Has_Across_Type_Definition = libghdl.vhdl__nodes_meta__has_across_type_definition
-Has_Through_Type_Definition =\
- libghdl.vhdl__nodes_meta__has_through_type_definition
+Has_Through_Type_Definition = libghdl.vhdl__nodes_meta__has_through_type_definition
-Has_Across_Type =\
- libghdl.vhdl__nodes_meta__has_across_type
+Has_Across_Type = libghdl.vhdl__nodes_meta__has_across_type
-Has_Through_Type =\
- libghdl.vhdl__nodes_meta__has_through_type
+Has_Through_Type = libghdl.vhdl__nodes_meta__has_through_type
-Has_Target =\
- libghdl.vhdl__nodes_meta__has_target
+Has_Target = libghdl.vhdl__nodes_meta__has_target
-Has_Waveform_Chain =\
- libghdl.vhdl__nodes_meta__has_waveform_chain
+Has_Waveform_Chain = libghdl.vhdl__nodes_meta__has_waveform_chain
-Has_Guard =\
- libghdl.vhdl__nodes_meta__has_guard
+Has_Guard = libghdl.vhdl__nodes_meta__has_guard
-Has_Delay_Mechanism =\
- libghdl.vhdl__nodes_meta__has_delay_mechanism
+Has_Delay_Mechanism = libghdl.vhdl__nodes_meta__has_delay_mechanism
-Has_Reject_Time_Expression =\
- libghdl.vhdl__nodes_meta__has_reject_time_expression
+Has_Reject_Time_Expression = libghdl.vhdl__nodes_meta__has_reject_time_expression
-Has_Force_Mode =\
- libghdl.vhdl__nodes_meta__has_force_mode
+Has_Force_Mode = libghdl.vhdl__nodes_meta__has_force_mode
-Has_Has_Force_Mode =\
- libghdl.vhdl__nodes_meta__has_has_force_mode
+Has_Has_Force_Mode = libghdl.vhdl__nodes_meta__has_has_force_mode
-Has_Sensitivity_List =\
- libghdl.vhdl__nodes_meta__has_sensitivity_list
+Has_Sensitivity_List = libghdl.vhdl__nodes_meta__has_sensitivity_list
-Has_Process_Origin =\
- libghdl.vhdl__nodes_meta__has_process_origin
+Has_Process_Origin = libghdl.vhdl__nodes_meta__has_process_origin
-Has_Package_Origin =\
- libghdl.vhdl__nodes_meta__has_package_origin
+Has_Package_Origin = libghdl.vhdl__nodes_meta__has_package_origin
-Has_Condition_Clause =\
- libghdl.vhdl__nodes_meta__has_condition_clause
+Has_Condition_Clause = libghdl.vhdl__nodes_meta__has_condition_clause
-Has_Break_Element =\
- libghdl.vhdl__nodes_meta__has_break_element
+Has_Break_Element = libghdl.vhdl__nodes_meta__has_break_element
-Has_Selector_Quantity =\
- libghdl.vhdl__nodes_meta__has_selector_quantity
+Has_Selector_Quantity = libghdl.vhdl__nodes_meta__has_selector_quantity
-Has_Break_Quantity =\
- libghdl.vhdl__nodes_meta__has_break_quantity
+Has_Break_Quantity = libghdl.vhdl__nodes_meta__has_break_quantity
-Has_Timeout_Clause =\
- libghdl.vhdl__nodes_meta__has_timeout_clause
+Has_Timeout_Clause = libghdl.vhdl__nodes_meta__has_timeout_clause
-Has_Postponed_Flag =\
- libghdl.vhdl__nodes_meta__has_postponed_flag
+Has_Postponed_Flag = libghdl.vhdl__nodes_meta__has_postponed_flag
-Has_Callees_List =\
- libghdl.vhdl__nodes_meta__has_callees_list
+Has_Callees_List = libghdl.vhdl__nodes_meta__has_callees_list
-Has_Passive_Flag =\
- libghdl.vhdl__nodes_meta__has_passive_flag
+Has_Passive_Flag = libghdl.vhdl__nodes_meta__has_passive_flag
-Has_Resolution_Function_Flag =\
- libghdl.vhdl__nodes_meta__has_resolution_function_flag
+Has_Resolution_Function_Flag = libghdl.vhdl__nodes_meta__has_resolution_function_flag
-Has_Wait_State =\
- libghdl.vhdl__nodes_meta__has_wait_state
+Has_Wait_State = libghdl.vhdl__nodes_meta__has_wait_state
-Has_All_Sensitized_State =\
- libghdl.vhdl__nodes_meta__has_all_sensitized_state
+Has_All_Sensitized_State = libghdl.vhdl__nodes_meta__has_all_sensitized_state
-Has_Seen_Flag =\
- libghdl.vhdl__nodes_meta__has_seen_flag
+Has_Seen_Flag = libghdl.vhdl__nodes_meta__has_seen_flag
-Has_Pure_Flag =\
- libghdl.vhdl__nodes_meta__has_pure_flag
+Has_Pure_Flag = libghdl.vhdl__nodes_meta__has_pure_flag
-Has_Foreign_Flag =\
- libghdl.vhdl__nodes_meta__has_foreign_flag
+Has_Foreign_Flag = libghdl.vhdl__nodes_meta__has_foreign_flag
-Has_Resolved_Flag =\
- libghdl.vhdl__nodes_meta__has_resolved_flag
+Has_Resolved_Flag = libghdl.vhdl__nodes_meta__has_resolved_flag
-Has_Signal_Type_Flag =\
- libghdl.vhdl__nodes_meta__has_signal_type_flag
+Has_Signal_Type_Flag = libghdl.vhdl__nodes_meta__has_signal_type_flag
-Has_Has_Signal_Flag =\
- libghdl.vhdl__nodes_meta__has_has_signal_flag
+Has_Has_Signal_Flag = libghdl.vhdl__nodes_meta__has_has_signal_flag
-Has_Purity_State =\
- libghdl.vhdl__nodes_meta__has_purity_state
+Has_Purity_State = libghdl.vhdl__nodes_meta__has_purity_state
-Has_Elab_Flag =\
- libghdl.vhdl__nodes_meta__has_elab_flag
+Has_Elab_Flag = libghdl.vhdl__nodes_meta__has_elab_flag
-Has_Vendor_Library_Flag =\
- libghdl.vhdl__nodes_meta__has_vendor_library_flag
+Has_Vendor_Library_Flag = libghdl.vhdl__nodes_meta__has_vendor_library_flag
-Has_Configuration_Mark_Flag =\
- libghdl.vhdl__nodes_meta__has_configuration_mark_flag
+Has_Configuration_Mark_Flag = libghdl.vhdl__nodes_meta__has_configuration_mark_flag
-Has_Configuration_Done_Flag =\
- libghdl.vhdl__nodes_meta__has_configuration_done_flag
+Has_Configuration_Done_Flag = libghdl.vhdl__nodes_meta__has_configuration_done_flag
-Has_Index_Constraint_Flag =\
- libghdl.vhdl__nodes_meta__has_index_constraint_flag
+Has_Index_Constraint_Flag = libghdl.vhdl__nodes_meta__has_index_constraint_flag
-Has_Hide_Implicit_Flag =\
- libghdl.vhdl__nodes_meta__has_hide_implicit_flag
+Has_Hide_Implicit_Flag = libghdl.vhdl__nodes_meta__has_hide_implicit_flag
-Has_Assertion_Condition =\
- libghdl.vhdl__nodes_meta__has_assertion_condition
+Has_Assertion_Condition = libghdl.vhdl__nodes_meta__has_assertion_condition
-Has_Report_Expression =\
- libghdl.vhdl__nodes_meta__has_report_expression
+Has_Report_Expression = libghdl.vhdl__nodes_meta__has_report_expression
-Has_Severity_Expression =\
- libghdl.vhdl__nodes_meta__has_severity_expression
+Has_Severity_Expression = libghdl.vhdl__nodes_meta__has_severity_expression
-Has_Instantiated_Unit =\
- libghdl.vhdl__nodes_meta__has_instantiated_unit
+Has_Instantiated_Unit = libghdl.vhdl__nodes_meta__has_instantiated_unit
-Has_Generic_Map_Aspect_Chain =\
- libghdl.vhdl__nodes_meta__has_generic_map_aspect_chain
+Has_Generic_Map_Aspect_Chain = libghdl.vhdl__nodes_meta__has_generic_map_aspect_chain
-Has_Port_Map_Aspect_Chain =\
- libghdl.vhdl__nodes_meta__has_port_map_aspect_chain
+Has_Port_Map_Aspect_Chain = libghdl.vhdl__nodes_meta__has_port_map_aspect_chain
-Has_Configuration_Name =\
- libghdl.vhdl__nodes_meta__has_configuration_name
+Has_Configuration_Name = libghdl.vhdl__nodes_meta__has_configuration_name
-Has_Component_Configuration =\
- libghdl.vhdl__nodes_meta__has_component_configuration
+Has_Component_Configuration = libghdl.vhdl__nodes_meta__has_component_configuration
-Has_Configuration_Specification =\
+Has_Configuration_Specification = (
libghdl.vhdl__nodes_meta__has_configuration_specification
+)
-Has_Default_Binding_Indication =\
+Has_Default_Binding_Indication = (
libghdl.vhdl__nodes_meta__has_default_binding_indication
+)
-Has_Default_Configuration_Declaration =\
+Has_Default_Configuration_Declaration = (
libghdl.vhdl__nodes_meta__has_default_configuration_declaration
+)
-Has_Expression =\
- libghdl.vhdl__nodes_meta__has_expression
+Has_Expression = libghdl.vhdl__nodes_meta__has_expression
-Has_Conditional_Expression_Chain =\
+Has_Conditional_Expression_Chain = (
libghdl.vhdl__nodes_meta__has_conditional_expression_chain
+)
-Has_Allocator_Designated_Type =\
- libghdl.vhdl__nodes_meta__has_allocator_designated_type
+Has_Allocator_Designated_Type = libghdl.vhdl__nodes_meta__has_allocator_designated_type
-Has_Selected_Waveform_Chain =\
- libghdl.vhdl__nodes_meta__has_selected_waveform_chain
+Has_Selected_Waveform_Chain = libghdl.vhdl__nodes_meta__has_selected_waveform_chain
-Has_Conditional_Waveform_Chain =\
+Has_Conditional_Waveform_Chain = (
libghdl.vhdl__nodes_meta__has_conditional_waveform_chain
+)
-Has_Guard_Expression =\
- libghdl.vhdl__nodes_meta__has_guard_expression
+Has_Guard_Expression = libghdl.vhdl__nodes_meta__has_guard_expression
-Has_Guard_Decl =\
- libghdl.vhdl__nodes_meta__has_guard_decl
+Has_Guard_Decl = libghdl.vhdl__nodes_meta__has_guard_decl
-Has_Guard_Sensitivity_List =\
- libghdl.vhdl__nodes_meta__has_guard_sensitivity_list
+Has_Guard_Sensitivity_List = libghdl.vhdl__nodes_meta__has_guard_sensitivity_list
-Has_Signal_Attribute_Chain =\
- libghdl.vhdl__nodes_meta__has_signal_attribute_chain
+Has_Signal_Attribute_Chain = libghdl.vhdl__nodes_meta__has_signal_attribute_chain
-Has_Block_Block_Configuration =\
- libghdl.vhdl__nodes_meta__has_block_block_configuration
+Has_Block_Block_Configuration = libghdl.vhdl__nodes_meta__has_block_block_configuration
-Has_Package_Header =\
- libghdl.vhdl__nodes_meta__has_package_header
+Has_Package_Header = libghdl.vhdl__nodes_meta__has_package_header
-Has_Block_Header =\
- libghdl.vhdl__nodes_meta__has_block_header
+Has_Block_Header = libghdl.vhdl__nodes_meta__has_block_header
-Has_Uninstantiated_Package_Name =\
+Has_Uninstantiated_Package_Name = (
libghdl.vhdl__nodes_meta__has_uninstantiated_package_name
+)
-Has_Uninstantiated_Package_Decl =\
+Has_Uninstantiated_Package_Decl = (
libghdl.vhdl__nodes_meta__has_uninstantiated_package_decl
+)
-Has_Instance_Source_File =\
- libghdl.vhdl__nodes_meta__has_instance_source_file
+Has_Instance_Source_File = libghdl.vhdl__nodes_meta__has_instance_source_file
-Has_Generate_Block_Configuration =\
+Has_Generate_Block_Configuration = (
libghdl.vhdl__nodes_meta__has_generate_block_configuration
+)
-Has_Generate_Statement_Body =\
- libghdl.vhdl__nodes_meta__has_generate_statement_body
+Has_Generate_Statement_Body = libghdl.vhdl__nodes_meta__has_generate_statement_body
-Has_Alternative_Label =\
- libghdl.vhdl__nodes_meta__has_alternative_label
+Has_Alternative_Label = libghdl.vhdl__nodes_meta__has_alternative_label
-Has_Generate_Else_Clause =\
- libghdl.vhdl__nodes_meta__has_generate_else_clause
+Has_Generate_Else_Clause = libghdl.vhdl__nodes_meta__has_generate_else_clause
-Has_Condition =\
- libghdl.vhdl__nodes_meta__has_condition
+Has_Condition = libghdl.vhdl__nodes_meta__has_condition
-Has_Else_Clause =\
- libghdl.vhdl__nodes_meta__has_else_clause
+Has_Else_Clause = libghdl.vhdl__nodes_meta__has_else_clause
-Has_Parameter_Specification =\
- libghdl.vhdl__nodes_meta__has_parameter_specification
+Has_Parameter_Specification = libghdl.vhdl__nodes_meta__has_parameter_specification
-Has_Parent =\
- libghdl.vhdl__nodes_meta__has_parent
+Has_Parent = libghdl.vhdl__nodes_meta__has_parent
-Has_Loop_Label =\
- libghdl.vhdl__nodes_meta__has_loop_label
+Has_Loop_Label = libghdl.vhdl__nodes_meta__has_loop_label
-Has_Exit_Flag =\
- libghdl.vhdl__nodes_meta__has_exit_flag
+Has_Exit_Flag = libghdl.vhdl__nodes_meta__has_exit_flag
-Has_Next_Flag =\
- libghdl.vhdl__nodes_meta__has_next_flag
+Has_Next_Flag = libghdl.vhdl__nodes_meta__has_next_flag
-Has_Component_Name =\
- libghdl.vhdl__nodes_meta__has_component_name
+Has_Component_Name = libghdl.vhdl__nodes_meta__has_component_name
-Has_Instantiation_List =\
- libghdl.vhdl__nodes_meta__has_instantiation_list
+Has_Instantiation_List = libghdl.vhdl__nodes_meta__has_instantiation_list
-Has_Entity_Aspect =\
- libghdl.vhdl__nodes_meta__has_entity_aspect
+Has_Entity_Aspect = libghdl.vhdl__nodes_meta__has_entity_aspect
-Has_Default_Entity_Aspect =\
- libghdl.vhdl__nodes_meta__has_default_entity_aspect
+Has_Default_Entity_Aspect = libghdl.vhdl__nodes_meta__has_default_entity_aspect
-Has_Binding_Indication =\
- libghdl.vhdl__nodes_meta__has_binding_indication
+Has_Binding_Indication = libghdl.vhdl__nodes_meta__has_binding_indication
-Has_Named_Entity =\
- libghdl.vhdl__nodes_meta__has_named_entity
+Has_Named_Entity = libghdl.vhdl__nodes_meta__has_named_entity
-Has_Alias_Declaration =\
- libghdl.vhdl__nodes_meta__has_alias_declaration
+Has_Alias_Declaration = libghdl.vhdl__nodes_meta__has_alias_declaration
-Has_Referenced_Name =\
- libghdl.vhdl__nodes_meta__has_referenced_name
+Has_Referenced_Name = libghdl.vhdl__nodes_meta__has_referenced_name
-Has_Expr_Staticness =\
- libghdl.vhdl__nodes_meta__has_expr_staticness
+Has_Expr_Staticness = libghdl.vhdl__nodes_meta__has_expr_staticness
-Has_Scalar_Size =\
- libghdl.vhdl__nodes_meta__has_scalar_size
+Has_Scalar_Size = libghdl.vhdl__nodes_meta__has_scalar_size
-Has_Error_Origin =\
- libghdl.vhdl__nodes_meta__has_error_origin
+Has_Error_Origin = libghdl.vhdl__nodes_meta__has_error_origin
-Has_Operand =\
- libghdl.vhdl__nodes_meta__has_operand
+Has_Operand = libghdl.vhdl__nodes_meta__has_operand
-Has_Left =\
- libghdl.vhdl__nodes_meta__has_left
+Has_Left = libghdl.vhdl__nodes_meta__has_left
-Has_Right =\
- libghdl.vhdl__nodes_meta__has_right
+Has_Right = libghdl.vhdl__nodes_meta__has_right
-Has_Unit_Name =\
- libghdl.vhdl__nodes_meta__has_unit_name
+Has_Unit_Name = libghdl.vhdl__nodes_meta__has_unit_name
-Has_Name =\
- libghdl.vhdl__nodes_meta__has_name
+Has_Name = libghdl.vhdl__nodes_meta__has_name
-Has_Group_Template_Name =\
- libghdl.vhdl__nodes_meta__has_group_template_name
+Has_Group_Template_Name = libghdl.vhdl__nodes_meta__has_group_template_name
-Has_Name_Staticness =\
- libghdl.vhdl__nodes_meta__has_name_staticness
+Has_Name_Staticness = libghdl.vhdl__nodes_meta__has_name_staticness
-Has_Prefix =\
- libghdl.vhdl__nodes_meta__has_prefix
+Has_Prefix = libghdl.vhdl__nodes_meta__has_prefix
-Has_Signature_Prefix =\
- libghdl.vhdl__nodes_meta__has_signature_prefix
+Has_Signature_Prefix = libghdl.vhdl__nodes_meta__has_signature_prefix
-Has_External_Pathname =\
- libghdl.vhdl__nodes_meta__has_external_pathname
+Has_External_Pathname = libghdl.vhdl__nodes_meta__has_external_pathname
-Has_Pathname_Suffix =\
- libghdl.vhdl__nodes_meta__has_pathname_suffix
+Has_Pathname_Suffix = libghdl.vhdl__nodes_meta__has_pathname_suffix
-Has_Pathname_Expression =\
- libghdl.vhdl__nodes_meta__has_pathname_expression
+Has_Pathname_Expression = libghdl.vhdl__nodes_meta__has_pathname_expression
-Has_In_Formal_Flag =\
- libghdl.vhdl__nodes_meta__has_in_formal_flag
+Has_In_Formal_Flag = libghdl.vhdl__nodes_meta__has_in_formal_flag
-Has_Slice_Subtype =\
- libghdl.vhdl__nodes_meta__has_slice_subtype
+Has_Slice_Subtype = libghdl.vhdl__nodes_meta__has_slice_subtype
-Has_Suffix =\
- libghdl.vhdl__nodes_meta__has_suffix
+Has_Suffix = libghdl.vhdl__nodes_meta__has_suffix
-Has_Index_Subtype =\
- libghdl.vhdl__nodes_meta__has_index_subtype
+Has_Index_Subtype = libghdl.vhdl__nodes_meta__has_index_subtype
-Has_Parameter =\
- libghdl.vhdl__nodes_meta__has_parameter
+Has_Parameter = libghdl.vhdl__nodes_meta__has_parameter
-Has_Parameter_2 =\
- libghdl.vhdl__nodes_meta__has_parameter_2
+Has_Parameter_2 = libghdl.vhdl__nodes_meta__has_parameter_2
-Has_Parameter_3 =\
- libghdl.vhdl__nodes_meta__has_parameter_3
+Has_Parameter_3 = libghdl.vhdl__nodes_meta__has_parameter_3
-Has_Parameter_4 =\
- libghdl.vhdl__nodes_meta__has_parameter_4
+Has_Parameter_4 = libghdl.vhdl__nodes_meta__has_parameter_4
-Has_Attr_Chain =\
- libghdl.vhdl__nodes_meta__has_attr_chain
+Has_Attr_Chain = libghdl.vhdl__nodes_meta__has_attr_chain
-Has_Signal_Attribute_Declaration =\
+Has_Signal_Attribute_Declaration = (
libghdl.vhdl__nodes_meta__has_signal_attribute_declaration
+)
-Has_Actual_Type =\
- libghdl.vhdl__nodes_meta__has_actual_type
+Has_Actual_Type = libghdl.vhdl__nodes_meta__has_actual_type
-Has_Actual_Type_Definition =\
- libghdl.vhdl__nodes_meta__has_actual_type_definition
+Has_Actual_Type_Definition = libghdl.vhdl__nodes_meta__has_actual_type_definition
-Has_Association_Chain =\
- libghdl.vhdl__nodes_meta__has_association_chain
+Has_Association_Chain = libghdl.vhdl__nodes_meta__has_association_chain
-Has_Individual_Association_Chain =\
+Has_Individual_Association_Chain = (
libghdl.vhdl__nodes_meta__has_individual_association_chain
+)
-Has_Subprogram_Association_Chain =\
+Has_Subprogram_Association_Chain = (
libghdl.vhdl__nodes_meta__has_subprogram_association_chain
+)
-Has_Aggregate_Info =\
- libghdl.vhdl__nodes_meta__has_aggregate_info
+Has_Aggregate_Info = libghdl.vhdl__nodes_meta__has_aggregate_info
-Has_Sub_Aggregate_Info =\
- libghdl.vhdl__nodes_meta__has_sub_aggregate_info
+Has_Sub_Aggregate_Info = libghdl.vhdl__nodes_meta__has_sub_aggregate_info
-Has_Aggr_Dynamic_Flag =\
- libghdl.vhdl__nodes_meta__has_aggr_dynamic_flag
+Has_Aggr_Dynamic_Flag = libghdl.vhdl__nodes_meta__has_aggr_dynamic_flag
-Has_Aggr_Min_Length =\
- libghdl.vhdl__nodes_meta__has_aggr_min_length
+Has_Aggr_Min_Length = libghdl.vhdl__nodes_meta__has_aggr_min_length
-Has_Aggr_Low_Limit =\
- libghdl.vhdl__nodes_meta__has_aggr_low_limit
+Has_Aggr_Low_Limit = libghdl.vhdl__nodes_meta__has_aggr_low_limit
-Has_Aggr_High_Limit =\
- libghdl.vhdl__nodes_meta__has_aggr_high_limit
+Has_Aggr_High_Limit = libghdl.vhdl__nodes_meta__has_aggr_high_limit
-Has_Aggr_Others_Flag =\
- libghdl.vhdl__nodes_meta__has_aggr_others_flag
+Has_Aggr_Others_Flag = libghdl.vhdl__nodes_meta__has_aggr_others_flag
-Has_Aggr_Named_Flag =\
- libghdl.vhdl__nodes_meta__has_aggr_named_flag
+Has_Aggr_Named_Flag = libghdl.vhdl__nodes_meta__has_aggr_named_flag
-Has_Aggregate_Expand_Flag =\
- libghdl.vhdl__nodes_meta__has_aggregate_expand_flag
+Has_Aggregate_Expand_Flag = libghdl.vhdl__nodes_meta__has_aggregate_expand_flag
-Has_Association_Choices_Chain =\
- libghdl.vhdl__nodes_meta__has_association_choices_chain
+Has_Association_Choices_Chain = libghdl.vhdl__nodes_meta__has_association_choices_chain
-Has_Case_Statement_Alternative_Chain =\
+Has_Case_Statement_Alternative_Chain = (
libghdl.vhdl__nodes_meta__has_case_statement_alternative_chain
+)
-Has_Choice_Staticness =\
- libghdl.vhdl__nodes_meta__has_choice_staticness
+Has_Choice_Staticness = libghdl.vhdl__nodes_meta__has_choice_staticness
-Has_Procedure_Call =\
- libghdl.vhdl__nodes_meta__has_procedure_call
+Has_Procedure_Call = libghdl.vhdl__nodes_meta__has_procedure_call
-Has_Implementation =\
- libghdl.vhdl__nodes_meta__has_implementation
+Has_Implementation = libghdl.vhdl__nodes_meta__has_implementation
-Has_Parameter_Association_Chain =\
+Has_Parameter_Association_Chain = (
libghdl.vhdl__nodes_meta__has_parameter_association_chain
+)
-Has_Method_Object =\
- libghdl.vhdl__nodes_meta__has_method_object
+Has_Method_Object = libghdl.vhdl__nodes_meta__has_method_object
-Has_Subtype_Type_Mark =\
- libghdl.vhdl__nodes_meta__has_subtype_type_mark
+Has_Subtype_Type_Mark = libghdl.vhdl__nodes_meta__has_subtype_type_mark
-Has_Subnature_Nature_Mark =\
- libghdl.vhdl__nodes_meta__has_subnature_nature_mark
+Has_Subnature_Nature_Mark = libghdl.vhdl__nodes_meta__has_subnature_nature_mark
-Has_Type_Conversion_Subtype =\
- libghdl.vhdl__nodes_meta__has_type_conversion_subtype
+Has_Type_Conversion_Subtype = libghdl.vhdl__nodes_meta__has_type_conversion_subtype
-Has_Type_Mark =\
- libghdl.vhdl__nodes_meta__has_type_mark
+Has_Type_Mark = libghdl.vhdl__nodes_meta__has_type_mark
-Has_File_Type_Mark =\
- libghdl.vhdl__nodes_meta__has_file_type_mark
+Has_File_Type_Mark = libghdl.vhdl__nodes_meta__has_file_type_mark
-Has_Return_Type_Mark =\
- libghdl.vhdl__nodes_meta__has_return_type_mark
+Has_Return_Type_Mark = libghdl.vhdl__nodes_meta__has_return_type_mark
-Has_Has_Disconnect_Flag =\
- libghdl.vhdl__nodes_meta__has_has_disconnect_flag
+Has_Has_Disconnect_Flag = libghdl.vhdl__nodes_meta__has_has_disconnect_flag
-Has_Has_Active_Flag =\
- libghdl.vhdl__nodes_meta__has_has_active_flag
+Has_Has_Active_Flag = libghdl.vhdl__nodes_meta__has_has_active_flag
-Has_Is_Within_Flag =\
- libghdl.vhdl__nodes_meta__has_is_within_flag
+Has_Is_Within_Flag = libghdl.vhdl__nodes_meta__has_is_within_flag
-Has_Type_Marks_List =\
- libghdl.vhdl__nodes_meta__has_type_marks_list
+Has_Type_Marks_List = libghdl.vhdl__nodes_meta__has_type_marks_list
-Has_Implicit_Alias_Flag =\
- libghdl.vhdl__nodes_meta__has_implicit_alias_flag
+Has_Implicit_Alias_Flag = libghdl.vhdl__nodes_meta__has_implicit_alias_flag
-Has_Alias_Signature =\
- libghdl.vhdl__nodes_meta__has_alias_signature
+Has_Alias_Signature = libghdl.vhdl__nodes_meta__has_alias_signature
-Has_Attribute_Signature =\
- libghdl.vhdl__nodes_meta__has_attribute_signature
+Has_Attribute_Signature = libghdl.vhdl__nodes_meta__has_attribute_signature
-Has_Overload_List =\
- libghdl.vhdl__nodes_meta__has_overload_list
+Has_Overload_List = libghdl.vhdl__nodes_meta__has_overload_list
-Has_Simple_Name_Identifier =\
- libghdl.vhdl__nodes_meta__has_simple_name_identifier
+Has_Simple_Name_Identifier = libghdl.vhdl__nodes_meta__has_simple_name_identifier
-Has_Simple_Name_Subtype =\
- libghdl.vhdl__nodes_meta__has_simple_name_subtype
+Has_Simple_Name_Subtype = libghdl.vhdl__nodes_meta__has_simple_name_subtype
-Has_Protected_Type_Body =\
- libghdl.vhdl__nodes_meta__has_protected_type_body
+Has_Protected_Type_Body = libghdl.vhdl__nodes_meta__has_protected_type_body
-Has_Protected_Type_Declaration =\
+Has_Protected_Type_Declaration = (
libghdl.vhdl__nodes_meta__has_protected_type_declaration
+)
-Has_Use_Flag =\
- libghdl.vhdl__nodes_meta__has_use_flag
+Has_Use_Flag = libghdl.vhdl__nodes_meta__has_use_flag
-Has_End_Has_Reserved_Id =\
- libghdl.vhdl__nodes_meta__has_end_has_reserved_id
+Has_End_Has_Reserved_Id = libghdl.vhdl__nodes_meta__has_end_has_reserved_id
-Has_End_Has_Identifier =\
- libghdl.vhdl__nodes_meta__has_end_has_identifier
+Has_End_Has_Identifier = libghdl.vhdl__nodes_meta__has_end_has_identifier
-Has_End_Has_Postponed =\
- libghdl.vhdl__nodes_meta__has_end_has_postponed
+Has_End_Has_Postponed = libghdl.vhdl__nodes_meta__has_end_has_postponed
-Has_Has_Label =\
- libghdl.vhdl__nodes_meta__has_has_label
+Has_Has_Label = libghdl.vhdl__nodes_meta__has_has_label
-Has_Has_Begin =\
- libghdl.vhdl__nodes_meta__has_has_begin
+Has_Has_Begin = libghdl.vhdl__nodes_meta__has_has_begin
-Has_Has_End =\
- libghdl.vhdl__nodes_meta__has_has_end
+Has_Has_End = libghdl.vhdl__nodes_meta__has_has_end
-Has_Has_Is =\
- libghdl.vhdl__nodes_meta__has_has_is
+Has_Has_Is = libghdl.vhdl__nodes_meta__has_has_is
-Has_Has_Pure =\
- libghdl.vhdl__nodes_meta__has_has_pure
+Has_Has_Pure = libghdl.vhdl__nodes_meta__has_has_pure
-Has_Has_Body =\
- libghdl.vhdl__nodes_meta__has_has_body
+Has_Has_Body = libghdl.vhdl__nodes_meta__has_has_body
-Has_Has_Parameter =\
- libghdl.vhdl__nodes_meta__has_has_parameter
+Has_Has_Parameter = libghdl.vhdl__nodes_meta__has_has_parameter
-Has_Has_Component =\
- libghdl.vhdl__nodes_meta__has_has_component
+Has_Has_Component = libghdl.vhdl__nodes_meta__has_has_component
-Has_Has_Identifier_List =\
- libghdl.vhdl__nodes_meta__has_has_identifier_list
+Has_Has_Identifier_List = libghdl.vhdl__nodes_meta__has_has_identifier_list
-Has_Has_Mode =\
- libghdl.vhdl__nodes_meta__has_has_mode
+Has_Has_Mode = libghdl.vhdl__nodes_meta__has_has_mode
-Has_Has_Class =\
- libghdl.vhdl__nodes_meta__has_has_class
+Has_Has_Class = libghdl.vhdl__nodes_meta__has_has_class
-Has_Has_Delay_Mechanism =\
- libghdl.vhdl__nodes_meta__has_has_delay_mechanism
+Has_Has_Delay_Mechanism = libghdl.vhdl__nodes_meta__has_has_delay_mechanism
-Has_Suspend_Flag =\
- libghdl.vhdl__nodes_meta__has_suspend_flag
+Has_Suspend_Flag = libghdl.vhdl__nodes_meta__has_suspend_flag
-Has_Is_Ref =\
- libghdl.vhdl__nodes_meta__has_is_ref
+Has_Is_Ref = libghdl.vhdl__nodes_meta__has_is_ref
-Has_Is_Forward_Ref =\
- libghdl.vhdl__nodes_meta__has_is_forward_ref
+Has_Is_Forward_Ref = libghdl.vhdl__nodes_meta__has_is_forward_ref
-Has_Psl_Property =\
- libghdl.vhdl__nodes_meta__has_psl_property
+Has_Psl_Property = libghdl.vhdl__nodes_meta__has_psl_property
-Has_Psl_Sequence =\
- libghdl.vhdl__nodes_meta__has_psl_sequence
+Has_Psl_Sequence = libghdl.vhdl__nodes_meta__has_psl_sequence
-Has_Psl_Declaration =\
- libghdl.vhdl__nodes_meta__has_psl_declaration
+Has_Psl_Declaration = libghdl.vhdl__nodes_meta__has_psl_declaration
-Has_Psl_Expression =\
- libghdl.vhdl__nodes_meta__has_psl_expression
+Has_Psl_Expression = libghdl.vhdl__nodes_meta__has_psl_expression
-Has_Psl_Boolean =\
- libghdl.vhdl__nodes_meta__has_psl_boolean
+Has_Psl_Boolean = libghdl.vhdl__nodes_meta__has_psl_boolean
-Has_PSL_Clock =\
- libghdl.vhdl__nodes_meta__has_psl_clock
+Has_PSL_Clock = libghdl.vhdl__nodes_meta__has_psl_clock
-Has_PSL_NFA =\
- libghdl.vhdl__nodes_meta__has_psl_nfa
+Has_PSL_NFA = libghdl.vhdl__nodes_meta__has_psl_nfa
-Has_PSL_Nbr_States =\
- libghdl.vhdl__nodes_meta__has_psl_nbr_states
+Has_PSL_Nbr_States = libghdl.vhdl__nodes_meta__has_psl_nbr_states
-Has_PSL_Clock_Sensitivity =\
- libghdl.vhdl__nodes_meta__has_psl_clock_sensitivity
+Has_PSL_Clock_Sensitivity = libghdl.vhdl__nodes_meta__has_psl_clock_sensitivity
-Has_PSL_EOS_Flag =\
- libghdl.vhdl__nodes_meta__has_psl_eos_flag
+Has_PSL_EOS_Flag = libghdl.vhdl__nodes_meta__has_psl_eos_flag
-Has_Count_Expression =\
- libghdl.vhdl__nodes_meta__has_count_expression
+Has_Count_Expression = libghdl.vhdl__nodes_meta__has_count_expression
-Has_Clock_Expression =\
- libghdl.vhdl__nodes_meta__has_clock_expression
+Has_Clock_Expression = libghdl.vhdl__nodes_meta__has_clock_expression
-Has_Default_Clock =\
- libghdl.vhdl__nodes_meta__has_default_clock
+Has_Default_Clock = libghdl.vhdl__nodes_meta__has_default_clock
diff --git a/python/libghdl/thin/vhdl/nodes_utils.py b/python/libghdl/thin/vhdl/nodes_utils.py
index 8ea92969e..caee7ac4a 100644
--- a/python/libghdl/thin/vhdl/nodes_utils.py
+++ b/python/libghdl/thin/vhdl/nodes_utils.py
@@ -4,11 +4,10 @@ Strip_Denoting_Name = libghdl.vhdl__utils__strip_denoting_name
Get_Entity = libghdl.vhdl__utils__get_entity
-Is_Second_Subprogram_Specification = \
+Is_Second_Subprogram_Specification = (
libghdl.vhdl__utils__is_second_subprogram_specification
+)
-Get_Entity_From_Entity_Aspect = \
- libghdl.vhdl__utils__get_entity_from_entity_aspect
+Get_Entity_From_Entity_Aspect = libghdl.vhdl__utils__get_entity_from_entity_aspect
-Get_Interface_Of_Formal = \
- libghdl.vhdl__utils__get_interface_of_formal
+Get_Interface_Of_Formal = libghdl.vhdl__utils__get_interface_of_formal
diff --git a/python/libghdl/thin/vhdl/parse.py b/python/libghdl/thin/vhdl/parse.py
index c6fbb5259..4fff64b84 100644
--- a/python/libghdl/thin/vhdl/parse.py
+++ b/python/libghdl/thin/vhdl/parse.py
@@ -3,5 +3,4 @@ from ctypes import c_bool
Parse_Design_File = libghdl.vhdl__parse__parse_design_file
-Flag_Parse_Parenthesis = c_bool.in_dll(
- libghdl, "vhdl__parse__flag_parse_parenthesis")
+Flag_Parse_Parenthesis = c_bool.in_dll(libghdl, "vhdl__parse__flag_parse_parenthesis")
diff --git a/python/libghdl/thin/vhdl/pyutils.py b/python/libghdl/thin/vhdl/pyutils.py
index 28b4464f3..d98ae3ca4 100644
--- a/python/libghdl/thin/vhdl/pyutils.py
+++ b/python/libghdl/thin/vhdl/pyutils.py
@@ -1,16 +1,18 @@
-from ctypes import (c_char_p, c_int32, c_int, c_bool, sizeof, c_void_p, byref)
+from ctypes import c_char_p, c_int32, c_int, c_bool, sizeof, c_void_p, byref
import libghdl.thin.name_table as name_table
import libghdl.thin.vhdl.nodes as nodes
import libghdl.thin.vhdl.nodes_meta as nodes_meta
import libghdl.thin.vhdl.lists as lists
import libghdl.thin.vhdl.flists as flists
-from libghdl.thin.vhdl.nodes_meta import (Attr, types)
+from libghdl.thin.vhdl.nodes_meta import Attr, types
+
def name_image(nameid):
- return name_table.Get_Name_Ptr(nameid).decode('utf-8')
+ return name_table.Get_Name_Ptr(nameid).decode("utf-8")
+
def _build_enum_image(cls):
- d = [e for e in dir(cls) if e[0] != '_']
+ d = [e for e in dir(cls) if e[0] != "_"]
res = [None] * len(d)
for e in d:
res[getattr(cls, e)] = e
@@ -88,12 +90,12 @@ def nodes_iter(n):
Nodes are returned only once."""
if n == nodes.Null_Iir:
return
-# print 'nodes_iter for {0}'.format(n)
+ # print 'nodes_iter for {0}'.format(n)
yield n
for f in fields_iter(n):
typ = nodes_meta.get_field_type(f)
-# print ' {0}: field {1} (type: {2})'.format(
-# n, fields_image(f), types_image(typ))
+ # print ' {0}: field {1} (type: {2})'.format(
+ # n, fields_image(f), types_image(typ))
if typ == nodes_meta.types.Iir:
attr = nodes_meta.get_field_attribute(f)
if attr == Attr.ANone:
@@ -156,15 +158,16 @@ def declarations_iter(n):
if nodes_meta.Has_Declaration_Chain(k):
for n1 in chain_iter(nodes.Get_Declaration_Chain(n)):
k1 = nodes.Get_Kind(n1)
- if k1 in nodes.Iir_Kinds.Specification \
- or k1 == nodes.Iir_Kind.Use_Clause:
+ if k1 in nodes.Iir_Kinds.Specification or k1 == nodes.Iir_Kind.Use_Clause:
# Not a declaration
pass
elif k1 == nodes.Iir_Kind.Signal_Attribute_Declaration:
# Not a declaration
pass
- elif k1 in [nodes.Iir_Kind.Type_Declaration,
- nodes.Iir_Kind.Anonymous_Type_Declaration]:
+ elif k1 in [
+ nodes.Iir_Kind.Type_Declaration,
+ nodes.Iir_Kind.Anonymous_Type_Declaration,
+ ]:
yield n1
# Handle nested declarations: record elements, physical units,
# enumeration literals...
@@ -205,53 +208,54 @@ def declarations_iter(n):
for n2 in declarations_iter(n1):
yield n2
# All these nodes are handled:
- if k in [nodes.Iir_Kind.Entity_Declaration,
- nodes.Iir_Kind.Architecture_Body,
- nodes.Iir_Kind.Package_Declaration,
- nodes.Iir_Kind.Package_Body,
- nodes.Iir_Kind.Process_Statement,
- nodes.Iir_Kind.Sensitized_Process_Statement,
- nodes.Iir_Kind.Concurrent_Assertion_Statement,
- nodes.Iir_Kind.Concurrent_Simple_Signal_Assignment,
- nodes.Iir_Kind.Concurrent_Selected_Signal_Assignment,
- nodes.Iir_Kind.Concurrent_Conditional_Signal_Assignment,
- nodes.Iir_Kind.Concurrent_Procedure_Call_Statement,
- nodes.Iir_Kind.Block_Statement,
- nodes.Iir_Kind.Block_Header,
- nodes.Iir_Kind.For_Generate_Statement,
- nodes.Iir_Kind.If_Generate_Statement,
- nodes.Iir_Kind.Generate_Statement_Body,
- nodes.Iir_Kind.Assertion_Statement,
- nodes.Iir_Kind.Wait_Statement,
- nodes.Iir_Kind.Simple_Signal_Assignment_Statement,
- nodes.Iir_Kind.Variable_Assignment_Statement,
- nodes.Iir_Kind.For_Loop_Statement,
- nodes.Iir_Kind.While_Loop_Statement,
- nodes.Iir_Kind.Case_Statement,
- nodes.Iir_Kind.Null_Statement,
- nodes.Iir_Kind.Exit_Statement,
- nodes.Iir_Kind.Next_Statement,
- nodes.Iir_Kind.Procedure_Call_Statement,
- nodes.Iir_Kind.Signal_Declaration,
- nodes.Iir_Kind.Constant_Declaration,
- nodes.Iir_Kind.Variable_Declaration,
- nodes.Iir_Kind.File_Declaration,
- nodes.Iir_Kind.Object_Alias_Declaration,
- nodes.Iir_Kind.Attribute_Declaration,
- nodes.Iir_Kind.Component_Declaration,
- nodes.Iir_Kind.Use_Clause,
- nodes.Iir_Kind.If_Statement,
- nodes.Iir_Kind.Elsif,
- nodes.Iir_Kind.Return_Statement,
- nodes.Iir_Kind.Type_Declaration,
- nodes.Iir_Kind.Anonymous_Type_Declaration,
- nodes.Iir_Kind.Subtype_Declaration,
- nodes.Iir_Kind.Function_Declaration,
- nodes.Iir_Kind.Function_Body,
- nodes.Iir_Kind.Procedure_Declaration,
- nodes.Iir_Kind.Procedure_Body,
- nodes.Iir_Kind.Component_Instantiation_Statement,
- ]:
+ if k in [
+ nodes.Iir_Kind.Entity_Declaration,
+ nodes.Iir_Kind.Architecture_Body,
+ nodes.Iir_Kind.Package_Declaration,
+ nodes.Iir_Kind.Package_Body,
+ nodes.Iir_Kind.Process_Statement,
+ nodes.Iir_Kind.Sensitized_Process_Statement,
+ nodes.Iir_Kind.Concurrent_Assertion_Statement,
+ nodes.Iir_Kind.Concurrent_Simple_Signal_Assignment,
+ nodes.Iir_Kind.Concurrent_Selected_Signal_Assignment,
+ nodes.Iir_Kind.Concurrent_Conditional_Signal_Assignment,
+ nodes.Iir_Kind.Concurrent_Procedure_Call_Statement,
+ nodes.Iir_Kind.Block_Statement,
+ nodes.Iir_Kind.Block_Header,
+ nodes.Iir_Kind.For_Generate_Statement,
+ nodes.Iir_Kind.If_Generate_Statement,
+ nodes.Iir_Kind.Generate_Statement_Body,
+ nodes.Iir_Kind.Assertion_Statement,
+ nodes.Iir_Kind.Wait_Statement,
+ nodes.Iir_Kind.Simple_Signal_Assignment_Statement,
+ nodes.Iir_Kind.Variable_Assignment_Statement,
+ nodes.Iir_Kind.For_Loop_Statement,
+ nodes.Iir_Kind.While_Loop_Statement,
+ nodes.Iir_Kind.Case_Statement,
+ nodes.Iir_Kind.Null_Statement,
+ nodes.Iir_Kind.Exit_Statement,
+ nodes.Iir_Kind.Next_Statement,
+ nodes.Iir_Kind.Procedure_Call_Statement,
+ nodes.Iir_Kind.Signal_Declaration,
+ nodes.Iir_Kind.Constant_Declaration,
+ nodes.Iir_Kind.Variable_Declaration,
+ nodes.Iir_Kind.File_Declaration,
+ nodes.Iir_Kind.Object_Alias_Declaration,
+ nodes.Iir_Kind.Attribute_Declaration,
+ nodes.Iir_Kind.Component_Declaration,
+ nodes.Iir_Kind.Use_Clause,
+ nodes.Iir_Kind.If_Statement,
+ nodes.Iir_Kind.Elsif,
+ nodes.Iir_Kind.Return_Statement,
+ nodes.Iir_Kind.Type_Declaration,
+ nodes.Iir_Kind.Anonymous_Type_Declaration,
+ nodes.Iir_Kind.Subtype_Declaration,
+ nodes.Iir_Kind.Function_Declaration,
+ nodes.Iir_Kind.Function_Body,
+ nodes.Iir_Kind.Procedure_Declaration,
+ nodes.Iir_Kind.Procedure_Body,
+ nodes.Iir_Kind.Component_Instantiation_Statement,
+ ]:
return
assert False, "unknown node of kind {}".format(kind_image(k))
@@ -266,9 +270,11 @@ def concurrent_stmts_iter(n):
elif k == nodes.Iir_Kind.Design_Unit:
for n1 in concurrent_stmts_iter(nodes.Get_Library_Unit(n)):
yield n1
- elif k == nodes.Iir_Kind.Entity_Declaration \
- or k == nodes.Iir_Kind.Architecture_Body \
- or k == nodes.Iir_Kind.Block_Statement:
+ elif (
+ k == nodes.Iir_Kind.Entity_Declaration
+ or k == nodes.Iir_Kind.Architecture_Body
+ or k == nodes.Iir_Kind.Block_Statement
+ ):
for n1 in chain_iter(nodes.Get_Concurrent_Statement_Chain(n)):
yield n1
for n2 in concurrent_stmts_iter(n1):
@@ -278,8 +284,7 @@ def concurrent_stmts_iter(n):
yield n1
elif k == nodes.Iir_Kind.If_Generate_Statement:
while n != Null_Iir:
- for n1 in concurrent_stmts_iter(
- nodes.Get_Generate_Statement_Body(n)):
+ for n1 in concurrent_stmts_iter(nodes.Get_Generate_Statement_Body(n)):
yield n1
n = nodes.Get_Generate_Else_Clause(n)
elif k == nodes.Iir_Kind.Case_Generate_Statement:
@@ -287,8 +292,7 @@ def concurrent_stmts_iter(n):
for n1 in chain_iter(alt):
blk = nodes.Get_Associated_Block(n1)
if blk != Null_Iir:
- for n2 in concurrent_stmts_iter(
- nodes.Get_Generate_Statement_Body(n)):
+ for n2 in concurrent_stmts_iter(nodes.Get_Generate_Statement_Body(n)):
yield n2
@@ -307,10 +311,12 @@ def constructs_iter(n):
yield n1
for n2 in constructs_iter(n1):
yield n2
- elif k in [nodes.Iir_Kind.Entity_Declaration,
- nodes.Iir_Kind.Architecture_Body,
- nodes.Iir_Kind.Block_Statement,
- nodes.Iir_Kind.Generate_Statement_Body]:
+ elif k in [
+ nodes.Iir_Kind.Entity_Declaration,
+ nodes.Iir_Kind.Architecture_Body,
+ nodes.Iir_Kind.Block_Statement,
+ nodes.Iir_Kind.Generate_Statement_Body,
+ ]:
for n1 in chain_iter(nodes.Get_Declaration_Chain(n)):
yield n1
for n2 in constructs_iter(n1):
@@ -319,15 +325,17 @@ def constructs_iter(n):
yield n1
for n2 in constructs_iter(n1):
yield n2
- elif k in [nodes.Iir_Kind.Configuration_Declaration,
- nodes.Iir_Kind.Package_Declaration,
- nodes.Iir_Kind.Package_Body,
- nodes.Iir_Kind.Function_Body,
- nodes.Iir_Kind.Procedure_Body,
- nodes.Iir_Kind.Protected_Type_Declaration,
- nodes.Iir_Kind.Protected_Type_Body,
- nodes.Iir_Kind.Process_Statement,
- nodes.Iir_Kind.Sensitized_Process_Statement]:
+ elif k in [
+ nodes.Iir_Kind.Configuration_Declaration,
+ nodes.Iir_Kind.Package_Declaration,
+ nodes.Iir_Kind.Package_Body,
+ nodes.Iir_Kind.Function_Body,
+ nodes.Iir_Kind.Procedure_Body,
+ nodes.Iir_Kind.Protected_Type_Declaration,
+ nodes.Iir_Kind.Protected_Type_Body,
+ nodes.Iir_Kind.Process_Statement,
+ nodes.Iir_Kind.Sensitized_Process_Statement,
+ ]:
for n1 in chain_iter(nodes.Get_Declaration_Chain(n)):
yield n1
for n2 in constructs_iter(n1):
@@ -354,16 +362,19 @@ def constructs_iter(n):
for n3 in constructs_iter(n2):
yield n3
+
def sequential_iter(n):
"""Iterator on sequential statements. The first node must be either
a process or a subprogram body."""
if n == thin.Null_Iir:
return
k = nodes.Get_Kind(n)
- if k in [nodes.Iir_Kind.Process_Statement,
- nodes.Iir_Kind.Sensitized_Process_Statement,
- nodes.Iir_Kind.Function_Body,
- nodes.Iir_Kind.Procedure_Body]:
+ if k in [
+ nodes.Iir_Kind.Process_Statement,
+ nodes.Iir_Kind.Sensitized_Process_Statement,
+ nodes.Iir_Kind.Function_Body,
+ nodes.Iir_Kind.Procedure_Body,
+ ]:
for n1 in chain_iter(nodes.Get_Sequential_Statement_Chain(n)):
yield n1
for n2 in sequential_iter(n1):
@@ -384,21 +395,22 @@ def sequential_iter(n):
yield n1
for n2 in sequential_iter(n1):
yield n2
- elif k in [nodes.Iir_Kind.For_Loop_Statement,
- nodes.Iir_Kind.While_Loop_Statement]:
+ elif k in [nodes.Iir_Kind.For_Loop_Statement, nodes.Iir_Kind.While_Loop_Statement]:
for n1 in chain_iter(nodes.Get_Sequential_Statement_Chain(n)):
yield n1
for n2 in sequential_iter(n1):
yield n2
- elif k in [nodes.Iir_Kind.Assertion_Statement,
- nodes.Iir_Kind.Wait_Statement,
- nodes.Iir_Kind.Null_Statement,
- nodes.Iir_Kind.Exit_Statement,
- nodes.Iir_Kind.Next_Statement,
- nodes.Iir_Kind.Return_Statement,
- nodes.Iir_Kind.Variable_Assignment_Statement,
- nodes.Iir_Kind.Simple_Signal_Assignment_Statement,
- nodes.Iir_Kind.Procedure_Call_Statement]:
+ elif k in [
+ nodes.Iir_Kind.Assertion_Statement,
+ nodes.Iir_Kind.Wait_Statement,
+ nodes.Iir_Kind.Null_Statement,
+ nodes.Iir_Kind.Exit_Statement,
+ nodes.Iir_Kind.Next_Statement,
+ nodes.Iir_Kind.Return_Statement,
+ nodes.Iir_Kind.Variable_Assignment_Statement,
+ nodes.Iir_Kind.Simple_Signal_Assignment_Statement,
+ nodes.Iir_Kind.Procedure_Call_Statement,
+ ]:
return
else:
assert False, "unknown node of kind {}".format(kind_image(k))
diff --git a/python/libghdl/thin/vhdl/std_package.py b/python/libghdl/thin/vhdl/std_package.py
index a9628ce89..d999ae8b9 100644
--- a/python/libghdl/thin/vhdl/std_package.py
+++ b/python/libghdl/thin/vhdl/std_package.py
@@ -5,9 +5,9 @@ from ctypes import c_int32
Std_Location = c_int32.in_dll(libghdl, "vhdl__std_package__std_location")
# Use .value
-Standard_Package = c_int32.in_dll(
- libghdl, "vhdl__std_package__standard_package")
+Standard_Package = c_int32.in_dll(libghdl, "vhdl__std_package__standard_package")
# Use .value
Character_Type_Definition = c_int32.in_dll(
- libghdl, "vhdl__std_package__character_type_definition")
+ libghdl, "vhdl__std_package__character_type_definition"
+)
diff --git a/python/libghdl/thin/vhdl/tokens.py b/python/libghdl/thin/vhdl/tokens.py
index e66f97c27..002e7ca82 100644
--- a/python/libghdl/thin/vhdl/tokens.py
+++ b/python/libghdl/thin/vhdl/tokens.py
@@ -1,5 +1,3 @@
-
-
class Tok:
Invalid = 0
Left_Paren = 1