aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug047/repro_arith.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug047/repro_arith.vhdl')
-rw-r--r--testsuite/gna/bug047/repro_arith.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/bug047/repro_arith.vhdl b/testsuite/gna/bug047/repro_arith.vhdl
new file mode 100644
index 000000000..4160c2f73
--- /dev/null
+++ b/testsuite/gna/bug047/repro_arith.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_arith.all;
+
+entity repro_arith is
+end repro_arith;
+
+architecture behav of repro_arith is
+ signal s : unsigned (7 downto 0) := x"00";
+begin
+ process
+ begin
+ s <= s + 1;
+ wait for 1 ns;
+ end process;
+end behav;