aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug051/tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug051/tb.vhdl')
-rw-r--r--testsuite/gna/bug051/tb.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/bug051/tb.vhdl b/testsuite/gna/bug051/tb.vhdl
new file mode 100644
index 000000000..a2ed49272
--- /dev/null
+++ b/testsuite/gna/bug051/tb.vhdl
@@ -0,0 +1,15 @@
+entity tb is
+end tb;
+
+architecture behav of tb is
+ signal s : bit;
+begin
+ postponed assert s = '0' severity failure;
+ process
+ begin
+ s <= '1';
+ wait for 0 ns;
+ s <= '0';
+ wait;
+ end process;
+end behav;