aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug055/tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug055/tb.vhdl')
-rw-r--r--testsuite/gna/bug055/tb.vhdl2
1 files changed, 2 insertions, 0 deletions
diff --git a/testsuite/gna/bug055/tb.vhdl b/testsuite/gna/bug055/tb.vhdl
new file mode 100644
index 000000000..2aed1a994
--- /dev/null
+++ b/testsuite/gna/bug055/tb.vhdl
@@ -0,0 +1,2 @@
+entity tb is
+end tb;