aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug077/repro3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug077/repro3.vhdl')
-rw-r--r--testsuite/gna/bug077/repro3.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/bug077/repro3.vhdl b/testsuite/gna/bug077/repro3.vhdl
new file mode 100644
index 000000000..a5b7ab089
--- /dev/null
+++ b/testsuite/gna/bug077/repro3.vhdl
@@ -0,0 +1,26 @@
+entity repro3 is
+end repro3;
+
+architecture behav of repro3 is
+ type my_rec is record
+ a : bit;
+ w : bit_vector;
+ end record;
+
+ procedure check (v : my_rec) is
+ begin
+ assert v.a = '0' and v.w = "01";
+ end check;
+
+ procedure pack (a : bit; w : bit_vector) is
+ begin
+ check (v.a => a,
+ v.w => w);
+ end pack;
+begin
+ process
+ begin
+ pack ('0', "01");
+ wait;
+ end process;
+end;