aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug079/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug079/repro2.vhdl')
-rw-r--r--testsuite/gna/bug079/repro2.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/bug079/repro2.vhdl b/testsuite/gna/bug079/repro2.vhdl
new file mode 100644
index 000000000..d1cec45bb
--- /dev/null
+++ b/testsuite/gna/bug079/repro2.vhdl
@@ -0,0 +1,25 @@
+entity repro2 is
+end repro2;
+
+architecture behav of repro2 is
+begin
+
+ process
+ type my_rec is record
+ inc : natural;
+ b : bit;
+ end record;
+
+ constant bv : bit_vector := x"45";
+
+ procedure proc (v : my_rec; bv : bit) is
+ begin
+ assert v.b = bv;
+ end;
+ begin
+ proc (v => (inc => 3,
+ b => bv(3)),
+ bv => '0');
+ wait;
+ end process;
+end behav;