aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug090/hang1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug090/hang1.vhdl')
-rw-r--r--testsuite/gna/bug090/hang1.vhdl28
1 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/bug090/hang1.vhdl b/testsuite/gna/bug090/hang1.vhdl
new file mode 100644
index 000000000..9da1b1e07
--- /dev/null
+++ b/testsuite/gna/bug090/hang1.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity hello is
+ generic (constant l : natural := 8);
+ port`(a : in std_logic_vector (l - 1 downto 0));
+end hello;
+
+architecture behav of hello is
+ signal clk : std_logic;
+ signal q : std_logic_vector (l - 1 downto 0);
+begin
+ process
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end process;
+
+ process (clk)
+ begin
+ if rising_edge(clk) then
+ q <= a;
+ end if;
+ end process;
+ assert false report "Hello world" severity note;
+end behav;