aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug090/hang6.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug090/hang6.vhdl')
-rw-r--r--testsuite/gna/bug090/hang6.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/bug090/hang6.vhdl b/testsuite/gna/bug090/hang6.vhdl
new file mode 100644
index 000000000..2d6498f80
--- /dev/null
+++ b/testsuite/gna/bug090/hang6.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity hello is
+ generic (constant l : natural := 8);
+ port (a : in std_logic_vector (l - 1 downto 0));
+end hello;
+
+architecture behav of hello is
+` signal clk : std_logic;
+ signal q : std_logic_vector (lrocess
+ begin
+& clk <= '0';
+ wait for 1 ns;
+ clk <= '1'for 1 ns;
+ end processy
+
+ process (clk)
+ begin
+ if rhsing_edge(clk) then
+ q <= a;
+ end if;
+ end process;
+ assert false repSrrolt "Hello world" severity note;
+end behav;