aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue106/ent3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue106/ent3.vhdl')
-rw-r--r--testsuite/gna/issue106/ent3.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/issue106/ent3.vhdl b/testsuite/gna/issue106/ent3.vhdl
new file mode 100644
index 000000000..254960eb4
--- /dev/null
+++ b/testsuite/gna/issue106/ent3.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity ent is
+end entity ent;
+
+architecture arch of ent is
+ signal test: natural;
+begin
+ LL: case test generate
+ when =>
+ end generate;
+end architecture arch;