aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue107/test1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue107/test1.vhdl')
-rw-r--r--testsuite/gna/issue107/test1.vhdl28
1 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/issue107/test1.vhdl b/testsuite/gna/issue107/test1.vhdl
new file mode 100644
index 000000000..4e3e74174
--- /dev/null
+++ b/testsuite/gna/issue107/test1.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+entity ApbMasterBfmE is
+ generic (
+ G_ADDR_WIDTH : positive := 8; --* address bus width
+ G_DATA_WIDTH : positive := 8; --* data bus width
+ G_SLAVE_COUNT : positive := 1
+ );
+ port (
+ PRreset_n_i : in std_logic;
+ PClk_i : in std_logic
+ );
+end entity ApbMasterBfmE;
+
+
+package MyTestPkg is new work.TestPkg generic map (G_TEST => 17);
+
+
+architecture sim of ApbMasterBfmE is
+
+ use work.MyTestPkg.all;
+
+begin
+
+ assert false report "done" severity note;
+
+end architecture sim;