aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue125/bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue125/bug.vhdl')
-rw-r--r--testsuite/gna/issue125/bug.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue125/bug.vhdl b/testsuite/gna/issue125/bug.vhdl
new file mode 100644
index 000000000..9249d9725
--- /dev/null
+++ b/testsuite/gna/issue125/bug.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+entity ent is end entity;
+architecture a of ent is
+begin
+ process is
+ alias logic is std_ulogic;
+ function fun return string is
+ variable v : std_ulogic_vector(0 to 3);
+ begin
+ if ( v = x"7" ) then return "was 7";
+ else return "not 7"; end if;
+ end function;
+ begin
+ report "yo: " & fun; wait;
+ end process;
+end architecture;