aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1379/bar1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1379/bar1.vhdl')
-rw-r--r--testsuite/gna/issue1379/bar1.vhdl35
1 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue1379/bar1.vhdl b/testsuite/gna/issue1379/bar1.vhdl
new file mode 100644
index 000000000..1fac5c2ba
--- /dev/null
+++ b/testsuite/gna/issue1379/bar1.vhdl
@@ -0,0 +1,35 @@
+entity foo is
+ generic (
+ LENGTH : natural
+ );
+ port (
+ input : in bit_vector(LENGTH - 1 downto 0)
+ );
+end foo;
+
+architecture behave of foo is
+begin
+end behave;
+
+entity bar is
+end entity bar;
+
+architecture behave of bar is
+ component foo is
+ port (
+ input : in bit_vector(7 downto 0)
+ );
+ end component;
+
+begin
+
+ my_foo : foo
+ port map (
+ input => (others => '0')
+ );
+end behave;
+
+configuration cfg of bar is
+ for behave
+ end for;
+end cfg;