aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1404/types_pkg.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1404/types_pkg.vhdl')
-rw-r--r--testsuite/gna/issue1404/types_pkg.vhdl8
1 files changed, 8 insertions, 0 deletions
diff --git a/testsuite/gna/issue1404/types_pkg.vhdl b/testsuite/gna/issue1404/types_pkg.vhdl
new file mode 100644
index 000000000..dc3bb7288
--- /dev/null
+++ b/testsuite/gna/issue1404/types_pkg.vhdl
@@ -0,0 +1,8 @@
+use work.mylib_pkg.all;
+
+package types_pkg is
+
+ constant TYPE_WIDTH : natural := CEIL_LOG2(4);
+ subtype mytype_t is bit_vector(TYPE_WIDTH - 1 downto 0);
+
+end package;