aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1440/tb_last_value_bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1440/tb_last_value_bug.vhdl')
-rw-r--r--testsuite/gna/issue1440/tb_last_value_bug.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue1440/tb_last_value_bug.vhdl b/testsuite/gna/issue1440/tb_last_value_bug.vhdl
new file mode 100644
index 000000000..a8bdcf50c
--- /dev/null
+++ b/testsuite/gna/issue1440/tb_last_value_bug.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity tb_last_value_bug is
+end entity;
+
+architecture tb of tb_last_value_bug is
+ signal cnt : std_logic_vector(3 downto 0) := (others=>'0');
+begin
+ process
+ begin
+ wait for 10 ns;
+ cnt <= std_logic_vector(unsigned(cnt) + 1);
+ report "cnt: value = " & to_string(cnt) & " last_value = " & to_string(cnt'last_value) ;
+ end process;
+end architecture;