aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1469/ent1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1469/ent1.vhdl')
-rw-r--r--testsuite/gna/issue1469/ent1.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/issue1469/ent1.vhdl b/testsuite/gna/issue1469/ent1.vhdl
new file mode 100644
index 000000000..15fd607ab
--- /dev/null
+++ b/testsuite/gna/issue1469/ent1.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent1 is
+end;
+
+architecture arch of ent1 is
+
+ procedure f(a : std_logic_vector(open)) is
+ begin
+ report "a(a'high)=" & std_logic'image(a(a'high));
+ end procedure;
+
+begin
+ f ("0110");
+end;