aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1655/repro1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1655/repro1.vhdl')
-rw-r--r--testsuite/gna/issue1655/repro1.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue1655/repro1.vhdl b/testsuite/gna/issue1655/repro1.vhdl
new file mode 100644
index 000000000..c33e1f52d
--- /dev/null
+++ b/testsuite/gna/issue1655/repro1.vhdl
@@ -0,0 +1,25 @@
+entity repro1_ch is
+ generic (v : natural);
+ port (i : bit);
+end;
+
+architecture behav of repro1_ch is
+begin
+ assert v > 5;
+end;
+
+entity repro1 is
+end;
+
+architecture behav of repro1 is
+ component comp is
+ generic (v : natural);
+ port (i : bit);
+ end component;
+
+ signal s : bit;
+
+ for inst : comp use entity work.repro1_ch(behav);
+begin
+ inst: comp port map (i => s);
+end;