aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1881/mcve2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1881/mcve2.vhdl')
-rw-r--r--testsuite/gna/issue1881/mcve2.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue1881/mcve2.vhdl b/testsuite/gna/issue1881/mcve2.vhdl
new file mode 100644
index 000000000..8210017ee
--- /dev/null
+++ b/testsuite/gna/issue1881/mcve2.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity mcve2 is
+end;
+
+architecture sim of mcve2 is
+ constant width_in : natural := 11; -- 12 bits
+ constant last_stage : natural := 5;
+
+ signal v_clk : std_logic := '0';
+ signal v_rst : std_logic;
+
+ subtype stage_reg_t is std_logic_vector (width_in downto 0);
+ type stage_regs_t is array (0 to last_stage) of stage_reg_t;
+ signal stage_reg : stage_regs_t;
+
+begin
+
+end sim;