aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue379/e.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue379/e.vhdl')
-rw-r--r--testsuite/gna/issue379/e.vhdl30
1 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue379/e.vhdl b/testsuite/gna/issue379/e.vhdl
new file mode 100644
index 000000000..3369cad20
--- /dev/null
+++ b/testsuite/gna/issue379/e.vhdl
@@ -0,0 +1,30 @@
+entity e is end entity;
+architecture a of e is
+begin
+ process
+ constant z :integer := 0;
+ type t is array(0 to 0) of bit;
+ procedure x(
+ z :out bit_vector(0 to 0);
+ f :out bit_vector(0 to 0)
+ ) is
+ begin
+ end procedure;
+ procedure x(
+ z :out t;
+ f :out bit_vector(0 to 0)
+ ) is
+ begin
+ end procedure;
+ function f(arg:t) return bit is
+ begin
+ end function;
+ variable actual_for_f :bit;
+ variable actual_for_z :t; -- bit
+ begin
+ x(
+ f(z) => actual_for_f,
+ f(z) => actual_for_z
+ );
+ end process;
+end architecture;