aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue424/testCaseCrash.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue424/testCaseCrash.vhd')
-rw-r--r--testsuite/gna/issue424/testCaseCrash.vhd23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue424/testCaseCrash.vhd b/testsuite/gna/issue424/testCaseCrash.vhd
new file mode 100644
index 000000000..555d4db7f
--- /dev/null
+++ b/testsuite/gna/issue424/testCaseCrash.vhd
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity testCaseCrash is
+ port (outPad : out std_logic;
+ inPad : in std_logic
+ );
+end entity testCaseCrash;
+
+architecture behavioral of testCaseCrash is
+ component subBlock is
+ port (outPort : out std_logic;
+ inPort : in std_logic
+ );
+ end component subBlock;
+
+begin
+ xsubBlock : subBlock
+ port map (outPort => outPad,
+ inPort => inPad
+ );
+
+end architecture behavioral;