diff options
Diffstat (limited to 'testsuite/gna/issue424/testCaseGood.vhd')
-rw-r--r-- | testsuite/gna/issue424/testCaseGood.vhd | 23 |
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue424/testCaseGood.vhd b/testsuite/gna/issue424/testCaseGood.vhd new file mode 100644 index 000000000..ea030acae --- /dev/null +++ b/testsuite/gna/issue424/testCaseGood.vhd @@ -0,0 +1,23 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity testCaseGood is + port (outPad : out std_logic; + inPad : in std_logic_vector(3 downto 0) + ); +end entity testCaseGood; + +architecture behavioral of testCaseGood is + component subBlock is + port (outPort : out std_logic; + inPort : in std_logic_vector(3 downto 0) + ); + end component subBlock; + +begin + xsubBlock : subBlock + port map (outPort => outPad, + inPort => inPad + ); + +end architecture behavioral; |