aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/e3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue440/e3.vhdl')
-rw-r--r--testsuite/gna/issue440/e3.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue440/e3.vhdl b/testsuite/gna/issue440/e3.vhdl
new file mode 100644
index 000000000..42868bac9
--- /dev/null
+++ b/testsuite/gna/issue440/e3.vhdl
@@ -0,0 +1,15 @@
+package p3 is
+ generic(
+ -- function generic_f(b:bit) return boolean
+ function f(b:bit) return boolean
+ );
+ constant c : boolean := f('0');
+end package;
+
+entity e3 is end entity;
+architecture a of e3 is
+ function f(b:bit) return boolean is begin return false; end function;
+ package q is new work.p3 generic map(f);
+begin
+ assert q.c = false report "bad value" severity failure;
+end architecture;