aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue458/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue458/repro2.vhdl')
-rw-r--r--testsuite/gna/issue458/repro2.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue458/repro2.vhdl b/testsuite/gna/issue458/repro2.vhdl
new file mode 100644
index 000000000..8882aa376
--- /dev/null
+++ b/testsuite/gna/issue458/repro2.vhdl
@@ -0,0 +1,22 @@
+entity repro is
+end entity;
+
+architecture A of repro is
+ signal S1 : bit := '0';
+ signal S2_transport : bit;
+ signal S2_delayed : bit;
+begin
+ S1 <= '1' after 10 ns, '0' after 20 ns;
+ S2_transport <= transport S1 after 100 ns;
+ S2_delayed <= S1'delayed(100 ns);
+
+ process (S1) is
+ begin
+ assert false report "S1 = " & bit'image(S1) severity note;
+ end process;
+
+ process (S2_delayed) is
+ begin
+ assert false report "S1'delayed = " & bit'image(S2_delayed) severity note;
+ end process;
+end architecture;